sistema de comunicacion para niÑos …

83
IEL2-II-04-20 SISTEMA DE COMUNICACION PARA NIÑOS DISCAPACITADOS Presentado por: ANDRES MESA INFORME PROYECTO DE GRADO Asesor: ANTONIO GARCIA UNIVERSIDAD DE LOS ANDES FACULTAD DE INGENIERIA DEPARTAMENTO DE INGENIERIA ELECTRICA Y ELECTRONICA Bogota, Enero de 2005

Upload: others

Post on 29-Nov-2021

1 views

Category:

Documents


0 download

TRANSCRIPT

IEL2-II-04-20

SISTEMA DE COMUNICACION PARA NIÑOS DISCAPACITADOS

Presentado por: ANDRES MESA

INFORME PROYECTO DE GRADO

Asesor: ANTONIO GARCIA

UNIVERSIDAD DE LOS ANDES FACULTAD DE INGENIERIA

DEPARTAMENTO DE INGENIERIA ELECTRICA Y ELECTRONICA Bogota, Enero de 2005

IEL2-II-04-20

CONTENIDO

Página

INTRODUCCION 6

OBJETIVOS 7

1. MARCO TEORICO 8

1.1 COMUNICACION AUMENTATIVA Y ALTERNATIVA 8

1.2 OBJETIVOS DE LA COMUNICACION AUMENTATIVA 9

1.3 EVOLUCION DE LOS SISTEMAS CA 10

1.4 USUARIOS DE UN SISTEMA CA 10

1.5 CLASIFICACION DE LOS SISTEMAS CA 12

1.6 ELEMENTOS REPRESENTACIONALES DE SISTEMAS CA 13

2. ESPECIFICACION DEL PROBLEMA Y SU SOLUCION 14

2.1 INVESTIGACION DE CAMPO 14

2.2 TERAPIA CON UN SISTEMA CA 14

2.3 USUARIO 15

2.4 ENTORNO 16

3. DISEÑO ELECTRONICO 19

3.1 ARQUITECTURA GENERAL DEL SISTEMA 19

3.2 DESCRIPCION FUNCIONAL DEL SISTEMA 20

3.3 ARQUITECTURA FUNCIONAL DEL EQUIPO 27

3.3.1 PROCESAMIENTO DE ENTRADA 28

3.3.2 PROCESAMIENTO DEL SISTEMA 29

3.3.3 PROCESAMIENTO DE SALIDA 36

3.3.4 CONTROL INTERNO 37

3.3.5 INTERFAZ CON EL USUARIO 37

4. DISEÑO FISICO 39

4.1 ANALISIS DE USUARIO 39

4.2. OBJETIVOS DEL DISEÑO FISICO 43

IEL2-II-04-20

2

4.3 ANALISIS DE LA ACTIVIDAD 44

5. EQUIPO PARA SISTEMA CA 46

5.1 PANTALLA 48

5.2 BASE 50

5.2.1 BOTON DE SELECCION 51

5.2.2 CONTROL DEL SISTEMA Y PARLANTES 52

5.2.3 PANEL DE CONTROL 52

5.2.4 CONTROL DE VOLUMEN Y ON/OFF 53

5.2.5 BATERIAS 53

CONCLUSIONES 55

BIBLIOGRAFIA 57

ANEXOS 58

A.I CODIGO EN VHDL PARA EL BLOQUE CONTADOR

A.II CODIGO EN VHDL PARA EL BLOQUE SECUENCIA

A.III CODIGO EN VHDL PARA EL BLOQUE ILUMINACION

A.IV CODIGO EN VHDL PARA EL BLOQUE GRABACION

A.V CODIGO EN VHDL PARA EL BLOQUE REPRODUCCION

A.VI CODIGO EN VHDL PARA EL BLOQUE TOTAL

A.VII CODIGO DEL PROGRAMA EJECUTADO POR EL PIC16F877

A.VIII MANUAL DEL USUARIO

A.IX PLANOS DEL EQUIPO

IEL2-II-04-20

3

LISTA DE FIGURAS

Página

Figura 1. Diagrama de caja negra del problema 14

Figura 2. Actividad en la sala CA de la Universidad Pedagógica 15

Figura 3. Niño con parálisis cerebral. Usuario final 16

Figura 4. Sala de Comunicación Aumentativa y Alternativa 16

Figura 5. Silla especial para niños de la sala de CA 17

Figura 6. Arquitectura General del sistema 19

Figura 7. Diagrama de caja negra para el contador 20

Figura 8. Simulación del bloque contador 21

Figura 9. Diagrama de caja negra de secuencia 21

Figura 10. Secuencia del equipo en modo de grabación 22

Figura 11. Secuencia del equipo en modo de reproducción 22

Figura 12. Diagrama de caja negra de iluminación 23

Figura 13. Simulación del bloque iluminación 23

Figura 14. Diagrama de caja negra de grabación 24

Figura 15. Simulación del bloque de grabación 24

Figura 16. Diagrama de caja negra de reproducción 25

Figura 17. Simulación del bloque reproducción. 25

Figura 18. Diagrama de caja de negra de bloque Total 26

Figura 19. Simulación del bloque total en modo de grabación 26

Figura 20. Simulación del bloque total en modo de reproducción 27

Figura 21. Arquitectura funcional del equipo 27

Figura 22. Arquitectura funcional del equipo con chip ISD2560 28

Figura 23. Esquemático del control inferior 29

Figura 24. Esquemático del control superior 29

Figura 25. Esquemático del procesamiento del sistema 29

Figura 26. Diagrama de bloques del ISD2560 [2] 30

Figura 27. Maquina de estados de funcionamiento del chip ISD2560 31

IEL2-II-04-20

4

Figura 28. Configuración de amplificación de audio [2] 35

Figura 29. Esquemático de la pantalla 36

Figura 30. Esquemático del control interno 37

Figura 31. Esquemático de la interfaz con el usuario 38

Figura 32. Esquemático del ON/OFF y control de volumen 38

Figura 33. Marco referencial del sistema 39

Figura 34. Entorno del usuario [4] 39

Figura 35. Equipo realizado por estudiantes de diseño industrial e

ingeniería electrónica de la Universidad de los Andes hace un año 41

Figura 36. Ángela, usuario de la sala CA de la Universidad Pedagógica 42

Figura 37. Andrea, usuario de la sala CA de la Universidad Pedagógica 42

Figura 38. Objetivos del diseño del equipo 43

Figura 39. Análisis de la Actividad 44

Figura 40. Prototipo del diseño físico 46

Figura 41. Pantalla prototipo 46

Figura 42. Panel de control del prototipo 47

Figura 43. Distribución de tarjetas electrónicas en el prototipo 47

Figura 44. Vistas del equipo 48

Figura 45. Pantalla del equipo 48

Figura 46. Matriz de leds 49

Figura 47. Celdas para casillas 49

Figura 48. Acetatos de colores para terapia 50

Figura 49. Plantilla para realizar terapia con 16 casillas 50

Figura 50. Base de equipo 51

Figura 51. Botón selección 51

Figura 52. Control del sistema y parlantes 52

Figura 53. Panel de control 52

Figura 54. Distribución de tarjetas electrónicas del panel de control 53

Figura 55. Control de volumen y ON/OFF 53

IEL2-II-04-20

5

Figura 56. Baterías 53

Figura 57. Equipo cerrado 54

IEL2-II-04-20

6

INTRODUCCION La manera en que los niños aprenden el lenguaje es interactuando con su medio, teniendo interacciones interesantes con su ambiente que les permiten desarrollar la tempranas habilidades de comunicación, y así lograr aprender, formar relaciones sociales, expresar sentimientos y participar en actividades diarias. Sin embargo los niños con dificultades físicas y cognitivas no pueden interactuar de manera adecuada, por lo que es importante darles a estos niños una manera de comunicar sus deseos, necesidades y sentimientos. Una forma de hacerlo, es por medio de la comunicación aumentativa y alternativa, el cual ofrece a estos niños una manera eficiente para comunicarse y así mejorar su calidad de vida tanto para el como para su familia. La utilización de un medio alternativo de comunicación no implica que el habla nunca se desarrollara, de hecho es importante que el niño trabaje en el desarrollo de la comunicación verbal al mismo tiempo que esta utilizando un medio alternativo de comunicación. ¿Cómo puede expresar un niño sus pensamientos? Los niños se expresan de muchas maneras, el medio más común para transmitir una idea es con el habla, pero también hay otras maneras de expresarse, por ejemplo las señales de mano, escritura, gesturas, movimientos corporales y expresiones faciales, a estas maneras de expresarse se le llama comunicación sin ayuda. Existen otras maneras de expresar los sentimientos y es con la ayuda de algún tipo de aparato externo, por ejemplo una tabla de comunicación, tarjeta de comunicación y aparatos de comunicación electrónicos, a este medio de expresión se le puede llamar comunicación con ayuda. Ahora, ¿Cómo puede un niño presentar sus deseos a otros? La manera de presentar una idea es por medio de símbolos, un símbolo es “algo que representa algo otro” (Vanderheiden y Yoder, 1986, p. 15) [1]. Los símbolos que puede utilizar un niño son las palabras producidas por el habla, gesturas, señales de mano o un juego de símbolos con fotos o dibujos. Todos con un mismo fin ayudar al niño expresarse más eficientemente y afectuosamente. Pero, ¿Como puede el niño seleccionar el símbolo que quiere usar para expresar algo? Las técnicas de selección son las formas en que el niño puede escoger que símbolo usar para expresar algo. Existen varias, por ejemplo apuntado a un símbolo, mirándolo fijamente o por medio de un switch que tiene acceso a un equipo electrónico y selecciona el símbolo deseado por el niño. Este trabajo tiene como fin demostrar el proceso de elaboración de un equipo que le ofrece a un niño con discapacidades físicas y cognitivas un medio para transmitir una idea, presentar una idea y una técnica de selección, todos pensados de una manera adecuada para hacer el proceso de comunicación del niño más eficiente y afectuoso.

IEL2-II-04-20

7

OBJETIVOS El objetivo principal del proyecto es construir un equipo que le permita a un niño con discapacidades físicas y motoras comunicarse con su entorno y así mejor su calidad de vida. El equipo cuenta con las tres características fundamentales para realizar un sistema de comunicación alternativa y aumentativa. El medio para transmitir una idea es el equipo, el medio para expresar una idea son los símbolos que van en tablero del equipo, y la técnica de selección es por medio de un pulsador al cual tiene acceso el niño. Este equipo debe cumplir con objetivos electrónicos y físicos establecidos con la ayuda de las terapeutas de la Universidad Pedagógica de Colombia. Para obtener un equipo que cumpla todas las características necesarias para desarrollar una terapia de comunicación aumentativa y alternativa, debe cumplir con los siguientes objetivos: 1- Un equipo con características físicas adecuadas para realizar una terapia de

comunicación alternativa y aumentativa. Es un equipo dirigido a niños con poca motricidad fina y con algún problema cognitivo, por lo tanto el equipo debe cumplir con ciertas características planteadas a través del análisis del usuario más crítico. Algunas de esas característica son :

a) El peso, debe ser un equipo liviano. b) Portabilidad, debe ser portátil para ofrecer la posibilidad de utilizarse en

cualquier entorno y no solamente en una sala de terapia de comunicación aumentativa y alternativa.

c) Niveles de dificultades, el equipo debe proporcionar nivel de dificultades para que el niño pueda ir progresando junto con el equipo y así ganar autoestima, independencia y autocontrol.

d) Debe ser un equipo estéticamente llamativo para un niño, un equipo que no es llamativo no despertara el interés del niño para utilizarlo.

2- En cuanto a los objetivos electrónicos debe ser un equipo fiable, de fácil manejo,

autónomo y portátil para poder realizar una buena comunicación entre niños discapacitados y sus asistentes.

En este documento se describe el procedimiento seguido para cumplir tanto las metas del diseño físico como electrónico del equipo.

IEL2-II-04-20

8

1. MARCO TEORICO 1.1 COMUNICACION AUMENTATIVA Y ALTERNATIVA La comunicación aumentativa y alternativa se refiere a todas aquellas opciones, sistemas o estrategias que se pueden utilizar para facilitarle a una persona con problemas graves para la ejecución de habla su comunicación. Los sistemas de comunicación alternativa son aquellos que sustituyen totalmente el habla y los sistemas de comunicación aumentativa son un complemento al habla, también se puede decir que los alternativos se refieren más al lenguaje que al habla y los aumentativos al contrario, durante el documento se va a referir a el sistema de comunicación aumentativa y alternativa como sistema CA. Los sistemas CA tienen dos características esenciales:

1) Son conjuntos organizado no vocales para comunicar. 2) Es necesario aprenderlos mediante un aprendizaje formal.

El objetivo principal de un sistema CA es desarrollar la capacidad de comunicación, de manera general los sistemas aumentativos han sido diseñados esencialmente para incrementar el habla, la cual existiendo en cierta medida aún no es suficiente para establecer una comunicación inteligible y fluida. Por otro lado los sistemas alternativos se relacionan con el uso de una lengua no oral y que en algunos casos llegara a sustituir. En general todos los sistemas CA, en algún momento de su aprendizaje y uso tienen que ver con el desarrollo como con la expresión verbal, por lo tanto no son excluyentes, si no que son complementarios. Los sistemas aumentativos en muchos casos presuponen que el lenguaje oral esta desarrollado o hay capacidad de desarrollarlos en cuanto a procesos de percepción y comprensión, sin embargo en la mayoría de los casos la capacidad para producir el habla se encuentra disminuida y aquí es donde entra los sistemas alternativos. Los sistemas alternativos se pueden entender como verdaderas lenguas, alternativas al lenguaje oral, por ejemplo la lengua de signos, aprendida y usada principalmente por personas con sordera. “El lenguaje es un conjunto de símbolos arbitrarios y consensuados que se rigen por reglas y cuyo fin es representar ideas acerca del mundo con un propósito comunicativo” [1], el ejemplo más claro es el habla, una manifestación del lenguaje. La comunicación se refiere a la transmisión interactiva de significados sin importar el medio empleado. Los principales medios empleados en una comunicación son:

• Comunicación vocal: Uso del tracto vocal para expresar las ideas

IEL2-II-04-20

9

• Comunicación no-vocal: No se usa el tracto vocal si no otros medios. • Comunicación verbal: Cuando se utiliza palabras con significados. • Comunicación no-verbal: Cuando se utilizan elementos ajenos al sistema lingüístico.

Los sistemas de CA, como instrumentos al servicio de la logopedia, cumple el principal objetivo de ayudar al desarrollo de la comunicación y del lenguaje cuando estas funciones están alteradas por causas sensoriales, físicas o psíquicas. Estos códigos junto con el nivel de expresión vocal o no-vocal que tenga el paciente, le permiten comunicar y representar. En personas con déficit motórico, por ejemplo, estos códigos requieren instrumentación adecuada y en muchos casos personalizada, tal como tableros de comunicación, síntesis o digitalización de voz, señalizadores de muy distintos tipos, etc. [1]. 1.2 OBJETIVOS DE LA COMUNICACION AUMENTATIVA El objetivo más claro de la comunicación aumentativa es ofrecerles un mejor nivel de vida a las personas con alteración o imposibilidad del habla mediante un mecanismo para instaurar o ampliar sus canales de comunicación. Todo el conjunto de sistemas, estrategias y ayudas técnicas forman parte de una mejor habilitación del entorno para el desarrollo de las potencialidades de la persona con discapacidad o alteración temporal de las facultades orales. Todos estos mecanismos consiguen aumentar la autoestima de la persona por que proporcionan mayor fluidez en sus actos no comunicativos y abre la posibilidad de aumentar su autonomía. Para conseguir estos objetivos es necesario poner en practica desde el primer momento en que se detectan dificultades para el desarrollo del lenguaje oral estrategias de sistemas CA, no dejar de aplicarlos por la creencia que impiden el potencial desarrollo del lenguaje oral, son muchas las investigaciones que avalan el echo contrario: “cuando la persona tiene cubiertas sus necesidades básicas comunicativas, mejora la calidad y aumentan las emisiones verbales; además, se desarrollan habilidades comunicativas, se aprende y se habitúa a la participación social, se mejora la comprensión del mundo, etc. Aspectos todos ellos necesarios para el desarrollo de cualquier tipo de lenguaje (Harris-Vanderheider,1975; Mc Donald y Schultz, 1973, citados por Mayer Jonson, 1981)” [1].

IEL2-II-04-20

10

1.3 EVOLUCION DE LOS SISTEMAS CA Desde la segunda mitad del pasado siglo la tecnología ha entrado a jugar un papel importante para mejorar la comunicación de personas con discapacidades. En unos casos se limitan a ampliar las expresiones del usuario y en otros contribuyen al desarrollo de la comunicación y el lenguaje. Actualmente los sistemas CA forman parte de un nuevo enfoque sobre deficiencias, discapacidades y minusvalías, imponiendo como factor clave del desarrollo humano la comunicación, dándole a esta tal importancia de colocarla como la base de ciertas funciones y procesos cognitivos de la especie humana. Por lo tanto con este enfoque y con la entrada de nuevas tecnologías dentro de los sistemas CA se esta buscando mejorar la calidad de vida y crear nuevas oportunidades de igualdad para personas con discapacidad para comunicarse. 1.4 USUARIOS DE UN SISTEMA CA Los usuarios de un sistema de comunicación aumentativa y alternativa son todos aquellos que tienen una dificultad grave para comunicarse. Los usuarios de un sistema CA son dos, el paciente y la terapeuta. La terapeuta es la encargada de interpretar y adaptar las formas de comunicación adecuadas para cada paciente a partir de su conducta y de los medios que dispone para posteriormente iniciar un sistema de comunicación adecuado y ameno para el paciente. El otro usuario es el paciente, el caso más numeroso es el de pacientes con dificultades motoras que carecen de habla comprensible y cuyas dificultades físicas no les permiten realizar movimientos finos para expresarse por medio de un lenguaje de signos u otros mecanismos de comunicación sin ayuda de un soporte física. Este grupo de personas padecen de los siguientes trastornos [1]:

1- Personas con discapacidad física por: a) secuelas de parálisis cerebral, b) traumatismos craneoencefálicos, c) malformaciones craneofaciales, d) enfermedades neuromusculares progresivas

2- Personas con discapacidad intelectual, cognitiva, psíquica a) discapacidad cognitiva y/o trastornos del lenguaje, b) trastornos graves del desarrollo c) autismo d) personas con plurideficiencias.

IEL2-II-04-20

11

3- Personas con discapacidad sensorial. a) sordera b) ceguera c) sordo ceguera.

4- Otros colectivos necesitados de forma transitoria del uso de sistemas de comunicación aumentativa como son las personas sometidas a operaciones ó lesiones de los órganos implicados en el habla, desconocimiento de idiomas (inmigrantes), etc.

Se puede describir a este grupo como :“el grupo que necesita un medio de expresión [..] tiene una comprensión del lenguaje bastante buena, pero les falta la posibilidad de expresarse a través del habla; el grupo que necesita un lenguaje de apoyo [..] un paso en el camino del desarrollo del habla; el grupo que necesita un lenguaje alternativo [..] la meta es que la comunicación alternativa se convierta en su lengua materna” (von Tetzchner y Martinsen, 1993) [1]. La aplicación de un sistema CA no se debe ver como una materia o asignación de una terapia si no verlo en un contexto global, debe hacérselo ver al niño como instrumento necesario de su día a día para poder desarrollar sus estrategias comunicativas y lingüísticas. La manera de hacerlo es contextualizarlo en la vida real del niño y de su entorno tanto familiar como social sin embargo esta generalización es muy escasa por falta de conexión entre la familia y el centro educativo, o lo aprendido en el centro escolar no son aplicables con las necesidades de la vida diaria de paciente, por vergüenza por parte del paciente a utilizar un sistema de CA, dificultades para manejar el sistema debido a su complejidad. Se podría hablar de tres grupos de usuarios de los sistemas CA [1]:

• Sujetos que han podido desarrollar un buen lenguaje, espontáneamente o mediante rehabilitación, pero cuya expresión oral no es inteligible, Ej.: algunos sordos profundos y muchos sujetos con parálisis cerebral.

• Sujetos sin impedimentos a nivel de órganos fono articulatorios, que teóricamente podrían hablar con normalidad, pero que no han podido desarrollar un buen sistema lingüístico, Ej.: sujetos seriamente afectados de déficit mental,

• Sujetos que están afectados tanto a nivel de lenguaje como de habla, Ej.: plurideficientes.

IEL2-II-04-20

12

1.5 CLASIFICACION DE LOS SISTEMAS CA Los sistemas CA se clasifican en dos grandes grupos, en sistemas con apoyo externo y sistemas sin apoyo externo. Los sistemas CA con apoyo se dirigen a mejorar la producción del habla, de ahí que se recurran a sistemas ortográficos, pictográficos e informáticos que suplen en parte las deficiencias del paciente. Los sistemas sin apoyo se enfocan a desarrollar el habla, surgieron varios siglos atrás para desarrollar el lenguaje por ejemplo la dactilología, la lengua de signos y la comunicación signada. La clasificación de estos sistemas es [1]:

Sistema con apoyo o SOC (sistemas basados en la ortografía) o SPC (sistemas basados en los pictogramas) o Sistema BLISS (Ch. Bliss, 1970) o SPC (Mayer-Johnson, 1980) o Sistema Rebus (Woodcock, 1970) o Sistema PIC (Maharaj, 1980) o Sistema Premac (1970) o SIC (sistemas basados en la informática) o Otros

Sistemas sin apoyo o Dactilología

o Modo Rochester o Neooralismo ruso

o Lengua de signos o Bimodal

o Comunicación Total o Makaton

o Palabra complementada o Otros

Los sistemas CA sin ayuda son más manejables, dinámicos, autónomos y económicos que los sistemas CA con ayuda pero desaparecen con el tiempo al igual que el habla por lo que requieren de mayor capacidad cognitiva. Todos comparten dos características esenciales:

1- El emisor se sirve de su cuerpo para enviar el mensaje. 2- Necesitan de un canal visual para enviar el mensaje.

Los sistemas CA con apoyo necesitan de instrumentos que como desventajas necesitan de mantenimiento y espacio pero tiene la ventaja de ser más estables y

IEL2-II-04-20

13

facilitar el procesamiento de la comunicación. Requieren de menos esfuerzo cognitivo, motriz y suelen ser más sencillos y comprensibles. 1.6 ELEMENTOS REPRESENTACIONALES DE LOS SISTEMAS CA A continuación se explican cada uno de los elementos representacionales dentro de un sistema CA [1]:

1.6.1. Elementos manipulables Es importante al inicio del desarrollo lingüístico pues el usuario percibe la información por medio de distintos sentidos y así se va apropiando de diferentes rasgos de cada objeto, por ejemplo textura, color, tamaño, etc.

1.6.2. Fotos e imágenes Es una muy buena opción por su economía y por la cantidad de fotos a color y blanco y negro que existen para representar un objeto.

1.6.3. Pictogramas Son dibujos a distintos niveles de abstracción, En los sistemas con apoyo existen desde dibujo muy realistas hasta los muy abstractos.

1.6.4. Ortografía Todos los sistemas CA recurren a colocar el significado de los dibujos en la casilla a usar con dos fines, uno para que el niño se vaya apropiando del abecedario y otro para que la terapeuta sepa a que se refiere cada símbolo.

1.6.5. Mímica natural Son todos los gestos que hacemos naturalmente y a diario para expresar una idea. Estos gestos son muy importantes a la hora de entablar una conversación con un niño sobre todo en edades cuando su lenguaje a nivel expresivo es escaso.

1.6.6. Signos manuales con valor lingüístico (nivel sublexical) Son la representación manual de los grafemas o letras en el aire o de ciertos rasgos de los fonemas.

1.6.7. Signos manuales con valor lingüístico (nivel lexical) Es el recurso de los sordos profundos, que lo aprenden y usan de manera espontánea cuando están en contacto con personas que se comunican con la lengua de signos. Es la lengua natural de las personas sordas.

1.6.8. Signos manuales sin valor lingüístico Son el complemento manual para eliminar las ambigüedades de la lectura labio facial.

IEL2-II-04-20

14

2. ES PECIFICACION DEL PROBLEMA Y SU SOLUCION 2.1 INVES TIGACIÓN DE CAMPO Lo p rimero para realizar un p royecto es determinar que variables o especificaciones deben tenerse en cuenta para realizar el diseño físico y electrónico del equipo, determinar a quien va dirigido, que tipo de uso va tener, cual es su función p rincipal, para así p lanificar el método a realizar en el diseño físico y electrónico. El p royecto es construir un equipo que sirva de ayuda para que un niño con discapacidades motoras y cognitivas se logre comunicar, por medio de un sistema de comunicación aumentativa y alternativa con ayuda. Como se había mencionado anteriormente para que un equipo haga parte de un sistema CA con ayuda es necesario que cumpla con ciertas especificaciones para que el la comunicación del niño sea amena y eficiente. El p roblema se dirige principalmente a satisfacer todas las especificaciones necesarias para que un niño logre por medio del equipo ganar independencia y autoestima aumentando su capacidad para comunicarse (Fig. 1). La investigación de campo para establecer que características debe cumplir el equipo se realizó en la Universidad Pedagógica de Colombia en colaboración con el departamento de comunicación aumentativa y alternativa, allí se hizo un análisis de los usuarios, el entorno y el método utilizado para realizar este tipo de actividad.

Figura 1

2.2 TERAPIA CON UN S IS TEMA DE CA El equipo tiene como principal objetivo ser la parte fundamental de un sistema CA con ayuda que le permite a un niño con alguna discapacidad motora y cognitiva expresarse con su entorno. Inicialmente el equipo esta dirigido a los niños que realizan la terapia de comunicación aumentativa y alternativa en la Universidad Pedagógica, estos niños realizan esta actividad en conjunto con una terapeuta que les p roporciona los medio necesario y correspondientes a su estado para realizar esta terapia (Fig. 2). Para lograr esto el equipo debe tener como función principal ser un digitalizador de voz con barrido visual y auditivo, este punto es muy importante por que hay niños cuyas capacidades auditivas no son muy buenas sin embargo su capacidad visual es normal por lo que es necesario

IEL2-II-04-20

15

que el barrido visual sea claro. O se puede p resentar el caso contrario en el cual el niño no tenga capacidad visual si no una capacidad auditiva normal por lo tanto el barrido auditivo debe proporcionar un nivel de volumen bueno y una rep roducción nítida de los sonidos de cada símbolo.

Figura 2

Para realizar el barrido visual y auditivo el equipo va a funcionar como un tablero al cual se le agregan diferentes láminas con símbolos. A cada símbolo la terapeuta le grabará un sonido correspondiente, cuando el equipo pase a las manos del niño iniciara un recorrido horizontal visual y auditivo. Cuando el barrido llegue a la casilla la cual expresa la idea del niño, el la escogerá oprimiendo un botón que se debe ubicar en la parte del cuerpo sobre la cual el niño tenga más control motriz. De esta manera el equipo se convierte en un sistema alternativo de comunicación que puede rescatar al niño de su silencio. Este sistema permite estimular al niño y así se puede iniciar en la comunicación, integrase en cierta medida a la sociedad y expresar sus pensamientos. 2.3 US UARIO

El equipo va a tener dos clases de usuarios, uno es la terapeuta la cual es la encargada de configurar el equipo para su correcto funcionamiento. Las terapeutas son personas con pocos conocimientos técnicos dentro del área de la electrónica por lo tanto es indispensable la elaboración de un manual para que ellas tengan acceso ráp ido y eficiente a el equipo. Igualmente se les dará una capacitación sobre la configuración del mismo. Además de darles este tipo de ayudas, las terapeutas tiene interacción con el equipo solo en la parte del panel de control, donde ellas tienen la posibilidad de configurar el equipo de una manera adecuada, este panel de control es claro y con información necesaria para que cualquier persona lo pueda configurar.

El otro Usuario es el niño, en el caso de la Universidad Pedagógica, los niños que atienden a este tipo de terap ia son niños con parálisis cerebral los cuales no tienen motricidad fina y capacidad para comunicarse por medio del habla (Fig. 3), por lo tanto el equipo es interesante para un niño y ofrece la posibilidad de comunicarse. Son niños de escasos recursos económicos, de edades ente 8 y 12 años. Su discapacidad para comunicarse no les permite interactuar con su entorno de

IEL2-II-04-20

16

manera adecuada y por tal razón su autoestima no es muy alta y viven, en cierto modo, en un estado de soledad.

Figura 3

El equipo p retende darle solución a ese p roblema, por eso tiene como característica brindarles la opción de hacer un barrido visual con una buena luminosidad y un barrido auditivo con una rep roducción fiel de los sonidos y bajo un buen volumen. Un aspecto importante que cumple el equipo era la manera en que el niño lograra escoger la casilla, y esto lo hacia por medio de un pulsador, entonces fue necesario determinar que características debía tener este pulsador para poder serle útil al niño, ya que ellos tiene discapacidades motoras que no les permiten desarrollar movimientos finos y controlados. 2.4 ENTORNO El entorno en el cual el equipo va a funcionar es en la sala de comunicación aumentativa y alternativa de la Universidad Pedagógica, es una sala destinada solo para realizar terap ias con sistemas CA, tiene un espacio adecuado donde el equipo puede ser guardado, El sitio de trabajo son en mesas de computador (Fig. 4), los niños llegan en coches, sillas de ruedas y a veces realizan la terap ia en unas sillas diseñadas especialmente para ellos como se observa en la figura 5. Estas características plantean la necesidad de un base estable, del tamaño del equipo y de la manera en que va a ser transportado y colocado para su uso, todos factores muy importantes a la hora de realizar el diseño físico.

Figura 4

IEL2-II-04-20

17

Figura 5

Tras realizar la investigación de campo se p lantearon varias especificaciones necesarias que debe cumplir el equipo, estas especificaciones son:

• Especificaciones Físicas: o Peso, una característica importante de este equipo es que su peso

fuera liviano para permitir su fácil desplazamiento. o Plegable, el equipo debe ser p legable con el fin de poder

manipularlo de una manera más fácil. o Como es un equipo dirigido a niños, debe ser en colores vivos y

acordes a la actividad. o La pantalla debe tener un nivel de inclinación adecuado para que

un niño en sillas de ruedas lo pueda ver claramente. o Una base adecuada para colocar en una silla de ruedas o en una

camilla, sin embargo la mayoría de las actividades se van a realizar en una mesa como en la figura 4.

o Un material que no se ensucie demasiado y aguante caídas pero que a la vez no le de mucho peso al equipo.

o Un botón de selección de un tamaño adecuado y que permita colocarse en cualquier parte del cuerpo sobre la que el niño tiene mayor control motor.

o Un panel de control con botones claros y ubicados en una posición que no interfiera con la terap ia del niño.

o Laminas de colores pues cada clase de palabra le corresponde un color determinado.

o Fácil manejo por parte de las terapeutas. o Practicidad.

• Especificaciones Electrónicas: o Cada casilla debe contar con tiempo suficiente para grabar una

palabra, 3 segundos. o El sonido de cada símbolo debe tener una calidad excelente. o Manejo del volumen. o El barrido visual debe tener un buen nivel de luz y contraste. o Manejo del brillo

IEL2-II-04-20

18

o Manejo de velocidad de rep roducción, con este control se le puede ofrecer niveles de dificultad al equipo dependiendo del estado del niño.

o Portátil, el equipo tiene la posibilidad de tener alimentación con baterías para garantizar su portabilidad.

o El barrido visual y auditivo debe ser horizontal. o Un equipo fiable, de fácil manejo y autónomo. o Manual para configuración del equipo.

IEL2-II-04-20

19

3. DISEÑO ELECTRONICO

Tras analizar al usuario, su entorno y la actividad se determinaros diferentes especificaciones que debía cumplir el equipo. El desarrollo del diseño electrónico se inicio p rimero p lanteando una arquitectura general del sistema. 3.1 ARQUITECTURA GENERAL DEL SIS TEMA A continuación se da una breve exp licación de la arquitectura general del sistema teniendo en cuenta todas las especificaciones antes mencionadas (Fig. 6).

Figura 6

Como se aprecia en la figura 6 la entrada al sistema es un niño con parálisis cerebral por lo tanto con discapacidad motora y del habla, y también tenemos a la terapeuta. En el p rocesamiento de de entrada encontramos las distintas variables que son importante para nuestro sistema, estas entradas son finalmente las que van a configurar el equipo, por parte de la terapeuta, y las que van a darle la funcionalidad adecuada a este, por parte del niño. En este punto ya se definen señales como la voz de la terapeuta, un modo de operación, uno para terap ia y otro para casillas predeterminadas, configuración de volumen, brillo, velocidad y finalmente el botón selección. La interfaz con el usuario se refiere a la manera en que el usuario tiene acceso al equipo, en este caso por medio de un panel de control. Esta arquitectura es vital para entender el funcionamiento del equipo, en cuanto a p rocesamiento de salida se refiere a la pantalla y los parlantes, estos son los que tiene la interacción final con el usuario. Con los concep tos claros sobre que funciones debe tener el equipo se paso al siguiente paso, que fue realizar una descripción funcional, tomando el p roblema principal y dividiéndolo en pequeños bloques para finalmente tener una idea clara de que componentes son los que intervienen en el funcionamiento del equipo.

IEL2-II-04-20

20

3.2 DESCRIPCIÓN FUNCIONAL DEL S ISTEMA El equipo tiene tres funciones principales, grabar, rep roducir, iluminación y control de secuencia. - Grabar: Se realiza secuencialmente. Se inicia cuando el usuario oprime el botón de grabar y esta se detiene por tres razones: la primera por que el usuario oprime el botón de parar, la segunda por que la memoria se llena en este caso el chip envía una señal de overload y la tercera por que ya se han grabado todas las casillas. - Reproducir: Se realiza secuencialmente hasta cuando el paciente oprime el botón de selección, en ese momento el equipo vuelve a rep roducir la última casilla. - Iluminación: Su función es iluminar cada casilla secuencialmente con dos propiedades: si esta en modo de grabación y se oprime el botón de parar el tablero deja iluminada la casilla que se grabó por última vez. Otro caso es cuando se encuentra en modo de rep roducción y se oprime el botón de selección, el tablero ilumina la última casilla de nuevo. La iluminación de las casillas también se realiza de modo secuencial. - Control de secuencia: Es el encargo de manejar la velocidad y el orden de secuencia a realizar, En modo de grabación el usuario va a tener 2,8 segundos para grabar el sonido y 3 segundos para pasar a la siguiente casilla. En modo de rep roducción el usuario va a escuchar la casilla por 2,8 segundo y va a cambiar de casilla en 3 segundos; este tiempo entre casilla puede variar según lo desee el usuario. Tras haber analizado las funciones del equipo, se plantearon los siguientes bloques como componentes necesarios a tener en cuenta dentro del diseño electrónico del equipo:

• Contador:

Este bloque se encarga de contar el tiempo que toma cada casilla para rep roducción y grabación, además de determinar el tiempo que hay entre casillas. Inicialmente para cada casilla se determino un tiempo de grabación de 2,8 segundos y para pasar de casilla de tres segundos. En modo de rep roducción el tiempo entre casillas puede variar según sea la necesidad del usuario.

Figura 7

IEL2-II-04-20

21

Este bloque fue simulado en vhdl (ver Anexo I) y los resultados fueron los esperados (Fig. 8). Las entradas a este bloque son (Fig. 7): Clk: Reloj del sistema. Asignación por flanco de subida.

Onoff: Inicializa el sistema Bparar: En modo de grabación. Detiene la grabación sin resetear la

memoria. Bcasilla: En modo de reproducción. Avisa al sistema que el niño escogió

una casilla para repetir la ultima casilla ejecutada. Velocidad: Tiempo entre casilla. En modo de grabación es de dos

segundos y en modo de reproducción puede variar con 10 para más velocidad y 01 menos velocidad.

La salida o repuesta de este bloque es:

Time: En uno le dice al sistema que se encuentra en una casilla (2.8 segundos) y en cero le dice al sistema que no realice ninguna actividad (3 segundos).

Figura 8

• Secuencia:

Este bloque p rácticamente realiza la función de control del sistema. Dependiendo de sus entradas manda señales al bloque de rep roducción, grabación e iluminación para que estos realicen sus funciones. También determina en que casilla se encuentra el equipo y si debe estar activa o no.

Figura 9

IEL2-II-04-20

22

Este bloque fue simulado en vhdl (ver Anexo II) y los resultados fueron los esperados (Fig. 10 y Fig. 11). Las entradas a este bloque son (Fig. 9):

Clk: Reloj del sistema. Activación por flanco de subida Onoff: Inicializa el sistema Bparar: En modo de grabación. Detiene la grabación sin resetear la memoria. Bcasilla: En modo de reproducción. Avisa al sistema que el niño escogió una casilla para repetir la ultima casilla ejecutada. Breprod: Activa el modo de reproducción Bgrabar: Activa el modo de grabación Time: Señal que p roviene del bloque Contador Ncasillas: Indica el numero de casilla a utilizar, pueden ser 8 0 16.

Y sus salidas son:

Luz: Señal de control para el bloque de iluminación. Indica si prender o no una casilla Cas: Señal para indicar si las casillas están completas Sig: Señal para indicar si pasar o no a la siguiente casilla.

Figura 10

Figura 11

IEL2-II-04-20

23

• Iluminación:

Es el bloque encargado de p render o no determinada casilla. También tiene un control de brillo determinado por el usuario.

Figura 12

Este bloque fue simulado en vhdl (ver Anexo III) y los resultados fueron los esperados (Fig. 13). Las entradas a este bloque son (Fig. 12):

Clk: Reloj del sistema. Activación por flanco de subida Onoff: Inicializa el sistema Bluz: Señal que viene del bloque de secuencia para determinar si debe

p render una casilla. Bcasilla: En modo de reproducción. Avisa al sistema que el niño escogió una casilla para repetir la ultima casilla ejecutada. Sig: Señal del bloque de secuencia para determinar si pasar o no a la siguiente casilla Bbrillo: Determina si variar el brillo, 10 mas brillo, 01 menos brillo.

Y sus salidas son:

Luz: Prender la casilla actual en ejecución. Brillo: Brillo de las casillas Casilla: Casilla en ejecución.

Figura 13

IEL2-II-04-20

24

• Grabación: Bloque encargado de la grabación de un sonido para una determinada casilla.

Figura 14

Este bloque fue simulado en vhdl (ver Anexo IV) y los resultados fueron los esperados (Fig. 15). Las entradas a este bloque son (Fig. 14):

Clk: Reloj del sistema. Activación por flanco de subida Onoff: Inicializa el sistema Bparar: En modo de grabación. Detiene la grabación sin resetear la memoria. Bgrabar: Activa el modo de grabación CasSeñal: Del bloque Secuencia para indicar si las casillas están completas SigSeñal: Del bloque de secuencia para determinar si pasar o no a la siguiente casilla

Y sus salidas:

Casillas: Casilla actual en ejecución. Memory: Posición de memoria actual. Simulando la memoria del chip.

Figura 15

• Reproducción

Bloque encargado de la rep roducción de un sonido de una determinada casilla.

IEL2-II-04-20

25

Figura 16

Este bloque fue simulado en vhdl (ver Anexo V) y los resultados fueron los esperados (Fig. 17). Las entradas a este bloque son (Fig. 16):

Clk: Reloj del sistema. Activación por flanco de subida Onoff: Inicializa el sistema Bcasilla: En modo de reproducción. Avisa al sistema que el niño escogió una casilla para repetir la ultima casilla ejecutada. Breprod: Activa el modo de reproducción Cas: Señal del bloque Secuencia para indicar si las casillas están completas Sig: Señal del bloque de secuencia para determinar si pasar o no a las siguiente casilla.

Y sus salidas:

Casillas: Casilla actual en ejecución. Sonido: Señal que indica que una casilla se esta reproduciendo.

Figura 17

IEL2-II-04-20

26

• Total: Este bloque es el encargado de encadenar todos lo anteriores.

Figura 18

Este bloque fue simulado en vhdl (ver Anexo VI) y los resultados fueron los esperados (Fig. 19 y Fig. 20).

Figura 19

IEL2-II-04-20

27

Figura 20

3.3 ARQUITECTURA FUNCIONAL DEL EQUIPO A partir la descripción funcional se p lantea un diseño general de bajo nivel, dividido en varios componentes específicos que dan forma al dispositivo. La arquitectura funcional del equipo seria la siguiente (Fig. 21):

Figura 21

En base a esta arquitectura se inicio una investigación de componentes para realizar cada una de estas funciones. Tras la investigación se encontró un chip que hace gran parte del trabajo a realizar en el p rocesamiento del sistema. Es un chip especializado para grabación y reproducción de voz el cual se encarga de realizar la conversión análoga-digital y digital-análoga, también se encarga del procesamiento de la señal y del almacenamiento de datos, este es el chip ISD2560 de Winbond.

IEL2-II-04-20

28

Por lo tanto la nueva arquitectura funcional del p roducto seria la siguiente (Fig.22):

Figura 22

3.3.1 PROCES AMIENTO DE ENTRADA

Este bloque se refiere a todas las entradas necesarias por parte de ambos usuarios para el funcionamiento del equipo. La señal de entrada por parte del niño es un botón de selección que le permite al niño escoger una casilla y así expresar su idea. Las demás señales se realizan por parte de la terapeuta y se hacen en un panel de control con botones claros y específicos que le permiten a la terapeuta configurar el equipo, estos botones son: Reproducir, Grabar, Parar, aumentar velocidad, disminuir velocidad, número de casillas, y otros dos botones que le permiten a la terapeuta realizar la terap ia o solo rep roducir ciertas casillas con dibujos predeterminados que expresan las siguientes ideas: Si, No, Comer, Baño. Además de los controles necesarios para determinar el brillo y volumen de rep roducción. Estos controles se hicieron en tarjetas distintas debido a que uno de los controles maneja pulsadores delgados y otro switches, esta diferencia de botones era notable en el diseño físico por lo que se op to por hacerlos de esta manera. La división del panel de control se hizo por las características físicas de los botones a usar, en control inferior (Fig. 23), se usaron switches para los botones de terap ia, predeterminados y número de casillas, con el fin de ahorrarle al usuario el trabajo de tener que oprimir botones al mismo tiempo. También cuenta con el botón de reset, que se utiliza en caso de tener que reiniciar el equipo.

IEL2-II-04-20

29

Figura 23

El otro es el control superior (Fig. 24) el cual tiene botones rep roducir, grabar, parar, aumentar velocidad y disminuir velocidad, todos con botones de 0,5mm de altura y con una buena área para pulsar.

Figura 24

Estos botones están ubicados según en una posición a la cual solo tiene acceso la terapeuta, esto se determino a partir del diseño físico del equipo.

3.3.2 PROCES AMIENTO DEL SIS TEMA

Este es el bloque encargado de tomar todos los datos de entrada por parte de los usuarios y configurar el chip ISD2560, la matriz de leds de la pantalla, el control de brillo y volumen, al igual que el control de datos (Fig. 25).

Figura 25

IEL2-II-04-20

30

Los componentes que hacen parte de este bloque son:

1- ISD2560

Este chip p rovee soluciones de grabación/rep roducción de alta calidad para ap licaciones de sonido de 60 segundos, tiene una frecuencia de muestreo a 8Khz y un filtro pasabanda de 3.4Khz lo que es excelente para lo que se requiere. Este chip proporciona una memoria no volátil con 48k celdas y de fácil acceso, el p rocesamiento de la señal se hace por medio de dos filtros, un filtro antialiasing y un filtro smoothing los cuales permiten almacenar la voz con buena calidad. El chip es compatible con microcontroladores permitiendo el manejo complejo de mensajes y direccionamiento (Fig. 26).

Figura 26

Este p roporciona oscilador en el chip , p reamplificador para el micrófono, control automático de ganancia, un amplificador para los parlantes y una densidad de memoria organizada por multiniveles con alta densidad y cero potencia necesaria para el almacenamiento de memoria [2].

La manera de acceder a la memoria del chip es por medio de los p ines A0-A9, dependiendo del valor de lo dos bits más significativo (A8 Y A9), el chip funciona en modo de dirección o en modo de push button, si los dos son altos (5v) el chip trabaja en modo de push button de lo contrario en modo de direccionamiento. Para nuestro caso se configuraron en modo de dirección para permitir guardar los datos en posiciones determinadas de la memoria.

Utiliza por separado un bus análogo y otro digital como buses de tierra, al igual que con vcca y vccd. Tiene dos salidas para los parlantes, SP+/SP-, estas son capaces de manejar hasta 50mW dentro de 16Ω. Las salidas de los parlantes se mantienen al nivel de vssa durante grabación y apagado por eso la conexión en paralelo de los parlantes puede dañar el equipo. Si se utiliza una sola salida de los

IEL2-II-04-20

31

parlantes resulta un reducción de 1 a 4 en la potencia de salida y el otro p in no se puede conectar a tierra porque pueden dañar el equipo.

El p in del micrófono transfiere la señal de entrada del p reamplificador dentro del chip . El p in AGC controla esta ganancia, y puede ser entre -15dB hasta 24dB. Un micrófono externo debe ser acop lado AC, por medio de una vía de capacitancias en serie. El mic ref se usa para cancelar el ruido, esta es la entrada inversa al preamplificador de micrófono, por tal razón se conecto a un micrófono diferencial. El chip se controla por medio de cinco señales: OVF, esta señal pulsa bajo (0v) para indicar que la memoria del chip se ha llenado. CE, esta entrada se toma como bajo para permitir todas las operaciones de grabación y reproducción. Los p ines de dirección y el pin P/R son cargados por el flanco de bajado de CE. PD, es el encargado de funcionar o no el equipo, cuando este se encuentra en alto el chip se coloca en estado de stand by y así permite ahorrar energía, sin embargo para grabar o reproducir es necesario que vuelva a bajo, también es el encargado de resetear el apuntador de memoria. EOM , un marcador no volátil que es insertado al final de cada mensaje grabado, este se mantiene hay hasta que el mensaje es grabado de nuevo; la manera de indetificar estos marcadores es que EOM va a bajo por un tiempo determinado cada vez que encuentra uno de estos marcadores. P/R, es la encargada de seleccionar el modo de chip , si en grabación o en rep roducción, si P/R es alto se selecciona rep roducir y con bajo grabar. El funcionamiento de chips es controlado por un PIC16F877, este se encarga de manipular los valores de dirección, PD, CE y P/R. Su funcionamiento se describe en la siguiente maquina de estados (Fig. 27):

Figura 27

IEL2-II-04-20

32

La posición de memoria desde la cual el chip debe rep roducir o grabar se cargan cuando CE va a bajo, en ese momento en el puerto de direcciones debe estar la dirección desde la cual se quiere grabar o reproducir, la manera de avanzar en la memoria del chip es controlando el tiempo, como se había establecido el tiempo de grabación y rep roducción para cada casilla es de 2,8 segundos entonces durante este tiempo CE y PD tiene que ser bajos y P/R mantener su valor para asegurar que se grabe el mensaje en las posiciones de memoria correspondientes a ese tiempo. Para el manejo de la memoria se conectaron los dos pines menos significativos del puerto de dirección a tierra (A0 y A1), a medida que pasa el tiempo las posiciones de memoria van cambiando, con el requerimiento de 2.8 segundos por casillas se elaboro un tabla de las posiciones (Tabla 1) de memoria en las que van a estar almacenados la información de cada casilla.

Casilla Posición de Memoria 1 0x23 2 0x2A 3 0x31 4 0x38 5 0x3F 6 0x46 7 0x4D 8 0x54 9 0x5B

10 0x62 11 0x69 12 0x70 13 0x77 14 0x7E 15 0x85 16 0x8C 17 0x00 18 0x07 19 0x0E 20 0x15

Tabla 1 Posiciones memoria de cada casilla dentro del chip ISD2560

2- Control de datos

El control de datos se hizo mediante un PIC16F877A. Es un dispositivo

que brinda la posibilidad de manejar 5 puertos y configurar independientemente cada uno de los pines como IN/OUT. La manera de organizarlo fue la siguiente: -PUERTO A: PA1 Maneja el pin P/R del chip ISD2560 / OUT

IEL2-II-04-20

33

PA2 Maneja el pin CE del chip ISD2560 / OUT PA3 Maneja el pin PD del chip ISD2560 / OUT PA4 Define el equipo en modo de terapia / IN PA5 Define el equipo en modo de casillas

Predeterminadas / IN - PUERTO B: PB0 Botón de selección / IN PB1 Botón de grabar / IN PB2 Botón de parar / IN PB3 Botón de reproducir / IN PB4 Número de casillas / IN PB5 Testigo de grabación / OUT PB6 Aumentar velocidad / IN PB7 Disminuir velocidad / IN - PUERTO C: PC0 Columna 1 / OUT PC1 Columna 2 / OUT PC2 Columna 3 / OUT PC3 Columna 4 / OUT PC4 Fila 1 / OUT PC5 Fila 2 / OUT PC6 Fila 3 / OUT PC7 Fila 4 / OUT - PUERTO D: MANEJO DE MEMORIA DEL ISD2560 - PUERTO E: PE0 Columna 5 / OUT PE2 Testigo de rep roducción. El PIC se programo bajo lenguaje C (ver Anexo VII), para esto se utilizo un compilador de versión gratuita PICCLITE de HItech. El modo de operación del PIC es la siguiente:

• Control de Memoria

Para el control de memoria el PIC utiliza el puerto D. Cuando el usuario escoge que modo de operación va usar con el equipo, si terapia o casillas predeterminadas, el PIC guarda en una variable interna la posición correspondiente a la p rimera casillas de estos modos de operación. Si el usuario escoge terapia la variable interna addres se iguala a 0x23 y si el usuario escoge casillas predeterminada la variable addres se iguala a 0x00. La diferencia de posiciones entre una casilla y la otra siempre son 0x07 y como el recorrido siempre es secuencial entonces el PIC para avanzar a la siguiente posición de memoria de cada casilla solo debe sumarle 0x07 a la variable addres. No fue necesario utilizar las señales de OVF del chip ISD2560 ni tampoco la señal de EOM por que las posiciones de memoria aseguraban que nunca se llegara a un overflow y los marcadores de fin de mensajes sobraban por que el control de direcciones se hace directamente por el control de dirección y no por el fin de un mensaje.

IEL2-II-04-20

34

• Control de rep roducción y grabación

Para el control de grabación y rep roducción el chip cuenta con siete funciones: PD_HIGH se encarga de poner el pin A3 en alto y además hacer un delay de 30ms para asegurar el funcionamiento del chip. PD_LOW realiza la misma función anterior pero pone en p in A3 en bajo. Las funciones PR_HIGH, PR_LOW, CE_HIGH, CE_LOW realizan la misma función de PD_GH y PD_LOW pero colocando los pines correspondientes en bajo o en alto según corresponda la función. En todos los casos es necesario hacer un delay de 30ms que es el tiempo necesario que necesita el chip para evaluar estos p ines, la función que realiza este delay es delay_10ms y asegura 10ms. Las función que realiza el control de grabación se llama func_record, tiene tres variables internas, una para ir comparando el numero de casillas con el numero de casillas a grabar, otra para ir almacenado la dirección de la casilla que se esta grabando y la otra que se iguala al numero de casillas correspondiente a la elección del usuario, este valor puede ser 8, 16 o 4. Antes de iniciar a grabar el usuario dispone de un segundo para informarse por medio de los testigo que se encuentra en estado de grabación, después de ese segundo se inicia un ciclo para grabar cada una de las casillas, en esta función también se llaman a las funciones func_iluminar, func_apagar, func_parar como se puede ver en el Anexo VII. La función que se encarga del control de reproducción es func_p lay , esta tiene cinco variables internas con el mismo p ropósito que las que tenía func_record más dos variables, una para determinar la velocidad entre casillas y la otra como testigo para saber si se oprimió el botón de selección. Esta función habilita la interrupción por el p in B0 que rep resenta el botón de selección al cual tiene acceso el niño, después realiza un ciclo para rep roducir cada una de las casillas, en caso que se oprima alguno de los botones para variar la velocidad de reproducción esta función aumenta o disminuye comparando el valor actual de la velocidad con los valor máximos y mínimos establecidos. Estos valores son de 10 segundos en el caso más crítico y de 0.5 segundo en el nivel más avanzado. También se encuentra la func_parar para detener la reproducción y la función func_p lay_casilla para rep roducir la casilla seleccionada por el niño.

• Control del botón de selección:

Para este control el PIC utiliza una interrupción por el pin 0 del puerto B, el cual espera a que el niño oprima el botón para recuperar la dirección de la ultima casilla rep roducida y rep roducirla de nuevo. La función que se encarga de este control es func_p lay_casilla, esta función tiene como entrada la dirección y el número de casilla que se rep rodujo por última vez antes de que el niño oprimiera el botón de selección. Después de que se realiza esta operación el equipo vuelve a estado de stand by esperando alguna orden por parte del usuario para rep roducir o grabar, o cambiar de modo de operación.

• Control de secuencias

IEL2-II-04-20

35

Es el encargado de prender las casillas realizando un barrido horizontal visual,

este también esta sujeto al modo de operación, pues si el equipo esta en rep roducción entonces el equipo debe esperar a que el niño oprima el botón de selección para volver a iluminar la casilla escogida por él. La manera en que el PIC controla esta secuencia es por medio de las funciones func_iluminar y func_apagar. La función func_iluminar se encarga de colocar en el puerto C el valor correspondiente para que determinada casilla se p renda. La función func_apagar lo que hace es mandar por el puerto C y el puerto E los valores 0x00 para apagar la matriz de leds.

• Control de velocidades

Como se menciono anteriormente, es necesario que el equipo brinde ciertos

niveles de dificultad, y estos los proporciona cambiando el tiempo que hay entre casillas para su reproducción. El equipo inicia siempre con un tiempo de tres segundos y este puede variar de 10 segundos hasta 0.5 segundos según determine la terapeuta. El PIC maneja estos cambios dentro de la función de rep roducir, func_p lay , ahí dependiendo de la elección del usuario modifica una variable en 50 para aumentar o disminuir 0.5 segundos, esta variable después pasa a al delay de 10ms y el equipo espera el tiempo establecido por la terapeuta para cambiar de una casilla a otra.

3- Amplificación de audio

En este bloque se utilizó el LM386 que es un amplificador diseñado para ap licaciones de bajo voltaje. La configuración (Fig. 28) utilizada fue la recomendada por parte de Winbond electronics corp . En esta configuración se utiliza dos resistencias de 560KΩ para atenuar el nivel de salida del ISD, la cual es de 12.5mW y así evitar distorsión del sonido. El control del volumen es por medio de un potenciómetro de 250KΩ. Otra característica de este circuito es que el LM386 es utilizado como un amplificador diferencial debido a que el chip maneja dos salidas para los parlantes y si se deja una sin conectar genera un “pop” [2]. La configuración usada fue la siguiente:

Figura 28

IEL2-II-04-20

36

4- Control de brillo

Para controlar el brillo de la pantalla se utilizo transistores npn 2n2222, el colector de estos transistores va conectado a un potenciómetro que va a una tierra y el emisor al cátodo de los leds, de esta manera a medida que cambia la resistencia entre el colector y la tierra entonces la diferencia de potencial que hay en led cambia.

3.3.3 PROCES AMIENTO DE S ALIDA

Este p roceso es el encargado de entregarle finalmente la información al usuario y lo hace visualmente y auditivamente. Se compone de una pantalla de leds, la cual es controlada por el PIC y cuyo brillo es determinado por el usuario y unos parlantes conectados a la salida del bloque de amplificación de audio, los parlantes utilizados son de 2 watts y 8Ω con resultados satisfactorios. - Pantalla

La pantalla esta compuesta por una matriz de leds de 5x4 (Fig. 29) y son controlados por el puerto C del PIC y el puerto E de la siguiente manera: Los 4 bits mas significativos del puerto C controlan las cuatro filas hasta la cuarta columna, estos van conectados a la base de los transistores antes mencionados los cuales funcionan como switches dependiendo del potencial que tengan en su base y controlan la cantidad de voltaje que tiene el cátodo de los leds; Los cuatro bits menos significativos controlan las primeras cuatro columnas, estos van conectados directamente al ánodo de los leds. De esta manera se logra controlar la secuencia de encendido y apagado de los leds de la pantalla. Para las casillas con dibujos p redeterminados se controla con el p in 1 del puerto E y los cuatro bits menos significativos del puerto C.

Figura 29

IEL2-II-04-20

37

La pantalla cuenta con 40 leds de chorro de luz blanca los cuales están rodeados por una matriz elaborada en acrílico de color aluminio que permite que la luz se propague en todas las direcciones y así ilumine cada casilla de una forma casi pareja.

3.3.4 CONTROL INTERNO La alimentación del sistema se puede realizar de dos maneras, una es con baterías y la otras es con un adaptador DC de 12v. La alimentación de baterías se hace por medio de cuatro p ilas AA recargables de 1,2 voltios con 1300mHA, el consumo de corriente del equipo es de 70mA por lo tanto con estas pilas el equipo puede funcionar entre 17 y 18 horas, además p roporcionan 4.8 voltios con el cual todos los componentes del equipo funcionan correctamente. Para la alimentación del adap tador se utilizo un 7805 el cual proporciona los 5 voltios necesarios para el funcionamiento del equipo además de un diodo rectificador polarizado inversamente para corto circuitar la corriente de descarga que provoca el relay al desactivarse para impedir daños al los elementos electrónicos. La manera de independizar la alimentación por baterías del adap tador es con un relay de 12v, el cual al momento de conectarse el adaptador, el circuito deja de utilizar la alimentación por baterías y solo queda conectado al adap tador impidiendo así el desgaste de las baterías.

Figura 30

3.3.5 INTERFAZ CON EL USUARIO

La interfaz con el usuario se hace por medio de un mostrador de estatus el cual le informa si el equipo esta rep roduciendo, grabando, parado, en modo de terap ia o en utilizando las casillas p redeterminadas. Igualmente estos testigos sirven para informarle al usuario la selección de operación realizada. El circuito implementado para esta interfaz fue el siguiente:

IEL2-II-04-20

38

Figura 31

La interfaz con el usuario se encuentra ubicada en la parte superior de la base, sobre los botones. Los leds que se utiliza son rojos de gran potencia por lo que el usuario puede diferenciar fácilmente cual testigo es el que se esta prendiendo. Dentro de la interfaz con el usuario también se encuentra el control del brillo. El control del brillo el usuario lo hace por medio de un potenciómetro de 2kΩ que va conectado a la pantalla. Otra interfaz que tiene el usuario con la parte electrónica es el control de volumen y de ON/OFF (Fig. 32). El control de volumen se hace por medio de un potenciómetro de 250kΩ que va conectado a los p ines 2 y 3 del amplificador de audio. Y el control ON/OFF es un switch entre la alimentación del equipo y la parte electrónica de este.

Figura 32

IEL2-II-04-20

39

4. DIS EÑO FISICO Para determinar el diseño físico del equipo, primero se debe identificar el usuario crítico, su entorno, la actividad a realizar y a partir de esta investigación plantear una solución al diseño físico del equipo. Tras la investigación de campo en la sala de comunicación aumentativa y alternativa de la Universidad Pedagógica, se puede ubicar la actividad dentro del siguiente marco referencial (Fig. 33):

Figura 33

En este marco referencial el usuario es el punto más importante sobre el es que hay que especificar cada uno de los objetivos a alcanzar por parte del equipo. Este usuario tiene interacción con su entorno en distintos modos (Fig. 34) y esta interacción nos define las características de los objetos y de la actividad a realizar.

Figura 34

4.1 ANALISIS DE US UARIO Se analizo a el sujeto en sus aspectos fisiológicos, sicológicos, pedagógicos y cultural.

• Aspecto Fisiológico del usuario

- Corporal: El equipo va dirigido a niños que pueden padecer síndrome de dawn,

parálisis cerebral, sordos, pero en ningún momento con retardo mental siendo que el equipo responde a una edad mental definida. Para el caso más crítico se

IEL2-II-04-20

40

hablo de un niño posiblemente en una camilla o en una silla de ruedas con discapacidad motora encefálica, por lo tanto se determinaron las siguientes características:

1. Un buen nivel de inclinación. 2. Un soporte para apoyar el equipo. El equipo cuenta con una base estable para que el equipo se pueda colocar en cualquier lugar a realizar la terap ia.

- Visual: El usuario puede ser un niño sordo o con problemas auditivos, por lo tanto

el equipo debe tener un buen nivel de volumen y una fiel rep roducción de la voz grabada.

- Auditiva También se puede dar el caso de un niño ciego o con p roblemas visuales por

lo tanto el equipo debe manejar un buen barrido visual, por esta razón tiene una matriz de leds que proporcionan un buen brillo para diferenciar cada casilla que se esta iluminando.

• Aspecto psicológico del usuario: Desde el punto de vista psicológico el objeto debe ofrecer vario niveles para

evolucionar dentro de los retos iniciales que se p lantean. Si el usuario logra ir pasando a través de las etapas más básicas del producto, entonces puede ir progresando junto con el objeto, ganando autoestima, independencia y autocontrol. Este avance se va a determinar por la velocidad a la cual se ponga a trabajar el equipo, inicialmente utiliza una velocidad baja y a medida que la terapia avance esta podrá ir aumentando según la respuesta del niño.

• Aspecto pedagógico: Para que no haya ningún obstáculo en el p roceso de comunicación, se tiene

que emplear un repertorio de signos inteligibles para el usuario, que gracias a la observación y el análisis de dicho usuario se puede interp retar, además existe la necesidad de que ambos emisor y receptor del mensaje puedan visualizar los símbolos manejados en la codificación y decodificación de la información. Los requisitos para que la enseñanza de comunicación aumentativa tenga éxito son [3]:

“1. No debe limitarse a las sesiones de trabajo y horarios de la terapia sino beneficiarse de los entornos con los interlocutores habituales, profesores, familiares y compañeros. 2. La enseñanza de comunicación aumentativa debe ser multimodal. Se debe utilizar simultáneamente recursos variados como vocales, gestuales, gráficos y sensoriales parea complementar lo que hacemos los seres humanos cuando hablamos.”

IEL2-II-04-20

41

Por lo tanto una característica importante del equipo es que sea portátil y p legable. También debe ser poco pesado, con colores que alienten al niño a utilizarlo, no debe tener bordes filosos y en un material parecido al p lástico por su peso y facilidad de limpiar, características que no cumplía el equipo realizado por estudiantes de la Universidad de los Andes hace un año (Fig. 35). Para que la sicóloga lo pueda utilizar con facilidad el equipo debe tener un panel de control con botones claros para cada uso. El barrido auditivo y visual debe ser horizontal para que el niño pueda observar las casillas de una manera adecuada. En resumen el equipo debe tener las siguientes características:

1. Buen nivel de inclinación. 2. Base para colocar el equipo en una mesa o una camilla. 3. Cada casilla debe tener por lo menos 2,8 segundos de grabación

para cada mensaje con una excelente calidad. 4. Manejo de volumen. 5. El barrido visual debe tener un buen nivel de luz y contraste. 6. Manejo de brillo 7. Manejo de velocidad de reproducción. 8. Portátil y p legable. 9. Poco pesado, con colores alusivos a la actividad. 10. Un material que no se ensucie mucho. Los parlantes no deben ir

externamente. 11. Panel de control con botones claros para su uso y ubicado en un

sitio que no interfiera con la actividad realizada por el niño. 12. El barrido visual y auditivo debe ser horizontal.

Figura 35

Para realizar un buen diseño del equipo lo primero fue analizar al usuario más critico de la sala de comunicación aumentativa y alternativa de la Universidad Pedagógica, en este caso se analizo el equipo para dos niñas. Ángela de 11 años, padece de parálisis cerebral espastica, escoliosis, luxación de la cadera y es hipertónica (Fig. 36). Andrea de 10 años, padece parálisis cerebral espastica,

IEL2-II-04-20

42

problemas visuales, patrón cruzado, no tiene control de la cabeza y es hipotónica (baja en musculatura) (Fig. 37).

Figura 36

Figura 37

Estos niños tienen dificultades motoras, por ejemplo en el caso de Andrea ella no tienen control de todos sus músculos y carece de fuerza para moverlos, en cambio Ángela, tiene sus músculos la mayoría del tiempo contraídos y con mucha fuerza, son niños que a pesar de su discapacidad se encuentran abiertos a recibir cualquier clase de ayuda, realizan sus terap ias de manera organizada y siempre guiadas por una terapeuta personal. Sus padres no tienen muchos recursos económicos, como se aprecia en la figura 34, Andrea no tiene silla de ruedas si no un coche, todas estas características determinan que objetivos debe cumplir el equipo para que la terapia de comunicación aumentativa y alternativa sea efectiva y amena.

IEL2-II-04-20

43

4.2. OBJETIVOS DEL DIS EÑO FÍSICO Tras observar a estos niños en la Universidad Pedagógica, se determino que para que el quipo cumpliera con todos los requisitos necesarios para realizar una comunicación alternativa y aumentativa debían ser los siguiente (Fig. 38):

Figura 38

El equipo se encuentra dividido en tres partes que hacen finalmente una sola, las características generales del equipo, las características de la pantalla y las características del panel de control. Las características generales del equipo son:

- Una base resistente - Portabilidad - Atractivo para el niño - Plegable - Resistente - Económico -

Todas esta características se determinaron a través del análisis del usuario critico. Las características de la pantalla son:

- Numero de casillas - Barrido visual y auditivo horizontal con buenos

nivel de luz y volumen.

IEL2-II-04-20

44

Las características del panel de control son: - Testigos de funcionamiento - Manejo de brillo y volumen - Ubicación y botones claros - Manejo de velocidades

El equipo realizado cumple con todas estas características. 4.3 ANÁLISIS DE LA ACTIVIDAD

Tras establecer los objetivos o requerimientos que debía cumplir el equipo se realizo un análisis de la actividad (Fig. 39), este análisis brindo una p rimera idea de cómo debe ser el equipo cumpliendo con los objetivos que se muestran en la figura 38.

Figura 39

IEL2-II-04-20

45

Este análisis se muestra a través de una caja transparente (Fig. 39). Es la descripción de la actividad realizada por la terapeuta y el niño a la hora de realizar la terap ia, cada actividad tiene sus p rop ias variables como usuario, características que debe tener el equipo para realizar determinada actividad y estado del equipo. De este análisis se obtuvieron más características que tiene el equipo como:

- Comunicativo - Una lamina de símbolos - Un manual - Fácil manejo de laminas - Estado de stand by para dar la posibilidad de iniciar la terap ia de nuevo.

IEL2-II-04-20

46

5. EQUIPO PARA S ISTEMA DE COMUNICACIÓN AUMENTIVA Y ALTERNATIVA

El equipo realizado cumple con todas las especificaciones electrónicas y físicas planteadas anteriormente. Antes de iniciar el p roceso de fabricación fue necesario de un p rototipo para determinar que características de espacio y estética que debía cumplir el equipo (Fig. 40).

Figura 40

Este p rototipo dio una idea de cómo debía distribuirse el espacio en el equipo, hay dos partes importantes la pantalla (Fig. 41) y el panel de control (Fig. 42). La pantalla seria de 30cm x 29cm con una grosor de 2.5cm lo que permitía tener varios niveles, sin embargo estas dimensiones se lograron disminuir.

Figura 41

El panel de control tiene un botón casilla y los botones necesarios para la configuración del equipo, inicialmente se pensó en hacer el botón de selección y los botones de control de la manera que se encuentra en la figura 42, sin embargo por razones de precio se opto por otra opción. Una parte importante fue la bisagra a usar, se pensó en utilizar una bisagra larga de la manera que se ve en la figura 42 sin embargo después se encontró otra solución más sencilla e igual de efectiva.

IEL2-II-04-20

47

Figura 42

Un factor importante que se determino por medio de este p rototipo fue la ubicación de las tarjetas electrónicas dentro del equipo (Fig. 43). Hay cuatro tarjetas electrónicas cada una con dimensiones distintas y funciones distintas. Una tarjeta para el control superior (Fig. 24), control inferior (Fig. 23), testigos (Fig. 31), tarjeta principal (Fig. 25) y el on/off con el control de volumen (Fig. 32), esta ubicación determino el grosor de la base y la pantalla.

Figura 43

Tras realizado este análisis se inició el p roceso de fabricación. La fabricación del equipo se hizo con la ayuda del profesor Mario Pinilla de la Universidad de los Andes se llego al siguiente modelo (Fig. 44):

IEL2-II-04-20

48

Figura 44

Este modelo cumple con todos los requerimientos físicos, es liviano, atractivo, con una base firme, p legable y portátil. Al igual cumple con los requerimientos electrónicos. El equipo esta compuesto por dos grandes partes, la base y la pantalla, que se exp lican detalladamente a continuación. 5.1 PANTALLA La pantalla fue elaborada en acrílico (Fig. 45), entre la base superior y la base inferior hay varias capas. La tapa inferior fue elaborada en acrílico de color verde fluorescente, que es un color atractivo tanto para niños como para niñas. La tapa superior esta elaborada en acrílico de color transparente y tiene un vinilo de color anaranjado encima. Todos estos colores se escogieron para hacer atractivo el equipo para un niño.

Figura 45

La capa siguiente a la tapa inferior es la tarjeta electrónica que alberga la matriz de leds (Fig. 46). La matriz de leds esta compuesta por 40 leds de chorro que iluminan luz blanca, se determino este tipo de led por que la terapeuta maneja colores para diferenciar símbolos, y si se utilizaba unos leds de un color definido no iba ser posible ap licar los colores para diferenciar clases de símbolos. También tiene un conector de 14 pines que esta conectado a la tarjeta principal y un conector de dos p ines que va directamente al control de brillo. Todos los leds se

IEL2-II-04-20

49

encuentran doblados para que p ropaguen la luz contra la siguiente capa. El tamaño de esta tarjeta es de 20cm x 24cm y con un grosor de 0.7mm.

Figura 46

Sobre los led se encuentra una capa de acrílico de 20cm x 24cm y con un grosor de 1cm, es de color aluminio (Fig. 47). Esta capa esta dividida en 20 celdas; cada celda corresponde a una casilla de la terap ia y alberga a dos leds doblados. Los leds están doblados por que son de chorro y si se apuntan directo a la lamina solo se va a ver puntos, con este método el led apunta a las paredes de acrílico y la luz se refleja en toda la casilla.

Figura 47

Después de esta capa encontramos la tapa superior, la cual además tiene unas pestañas para poder meter los acetatos de colores, los acetatos con dibujos y la plantilla. Los acetatos de colores (Fig. 48) se hicieron por que la terapia maneja distintos colores para diferenciar las clases de símbolos, esa categorización es la siguiente: Verde Verbos, Azul Adjetivos, Amarillo Nombre de personas, Naranja

Sustantivos, M orado, Rosado Expresiones sociales, Blanco Otras. Los colores de estos acetatos son amarillo, rojo y azul, son colores p rimarios por que con la combinación de estas láminas se genera la gamma de casi todos los colores. Estos acetatos miden 23.5cm x 19.5cm.

IEL2-II-04-20

50

Figura 48

La capa siguiente a los acetatos de colores, es un acetato que tiene los dibujos correspondientes a la terap ia, además tiene en el lado derecho cuatro dibujos establecidos que rep resenta un si, no, baño, terminar. Estos últimos son las llamadas casillas p redeterminadas y fueron escogidas por parte de las terapeutas de la Universidad Pedagógica, estos acetatos miden 23.5cm x 19.5cm. La última capa es una p lantilla (Fig. 49) que tiene 20 casillas para realizar la terapia con 16 casillas y 12 casillas para realizar la terapia con 8 casillas. Esta plantilla se encarga de dividir los dibujos y darle claridad al equipo sobre los diferentes símbolos, así el niño puede entender mejor que casilla se esta rep roduciendo. La plantilla mide 23.5cm x 19.5cm, y cada casilla esta separada por 6mm, cada casilla mide 4cm x 4cm.

Figura 49

Las dimensiones de la pantalla son las siguientes: 25cm de altura, 29cm de largo y 3cm de ancho. 5.2 BASE La base fue elaborada en acrílico, en esta se encuentra los parlantes, la tarjeta electrónica principal, el botón de selección, el panel de control, el compartimiento para las baterías , el conector para el adap tador DC, el control de volumen, el control de brillo, el on/off y los testigos (Fig. 50). La tapa inferior de la base es en

IEL2-II-04-20

51

acrílico verde fluorescente y la tapa superior en acrílico transparente, además tiene otra lamina de acrílico transparente con un vinilo amarillo que sirve de marco para la tapa superior y mejora la p resentación del producto.

Figura 50

5.2.1 BOTÓN DE SELECCIÓN El botón de selección tiene un tamaño grande, es de 13cm x 13cm y con

una altura de 2cm, esta elaborado en acrílico (Fig. 51). Es una caja de acrílico blanco con cuatro pulsadores colocados en cada esquina y sobre estos una burbuja de acrílico verde fluorescente que sirve como pulsador. Este botón tiene dimensiones que cumplen con las especificaciones dadas en el diseño físico. Cuenta con un cable de 1 metro 30cm que le permite a la terapeuta ubicarlo en la región del cuerpo del niño que el puede controlar, por ejemplo en la cabeza, cerca de la mano, en un p ie, etc. Esto se debe a que los niños tienen discapacidades motoras en la mayoría del cuerpo sin embargo logran controlar una parte de este. El botón opone poca resistencia para ser oprimido, esta característica se debe a que los niño pueden padecer de hipotonía, que carecen de fuerza, e esta forma se asegura que cualquier niño pueda oprimir el botón de selección y así poder hacer efectiva la terap ia.

Figura 51

IEL2-II-04-20

52

5.2.2 CONTROL DEL S ISTEMA Y PARLANTES

La tarjeta que se encarga del control del sistema mide 13cm x 9cm, esta se encarga de controlar todos los componentes del equipo, se encarga del procesamiento del sistema, p rocesamiento de salida, p rocesamiento de entrada, control interno y dos testigos (Fig. 52).

Figura 52

Los parlantes utilizados son de 8Ω y 2 watts. 5.2.3 PANEL DE CONTROL El panel de control (Fig. 53) tiene los botones rep roducir, grabar, parar, predeterminados, que es para utilizar el equipo con las casillas p redeterminadas, terapia, que es para utilizar el equipo en modo de terap ia, número de casillas, que es para configurar el equipo en 8 o 16 casillas, aumentar y disminuir velocidad, que es para configurar la dificultad de la terap ia.

Figura 53

En este mismo espacio encontramos el control de brillo y los testigos, la organización de estas tres tarjetas es la siguiente (Fig. 54):

IEL2-II-04-20

53

Figura 54

5.2.4 CONTROL DE VOLUMEN Y ON/OFF El control de volumen y el ON/OFF se encuentra ubicado en el lateral derecho del equipo.

Figura 55

5.2.5 BATERÍAS El compartimiento de las baterías se encuentra en la parte inferior de la caja. Se utilizan cuatro p ilas de 1,2 voltios y 1300mHa, que dan un rendimiento de 17 horas de funcionamiento continuo. Por lo tanto da la posibilidad que el equipo sea portátil.

Figura 56

La base del equipo mide 29cm de largo, 25cm de ancho y 4cm de altura.

IEL2-II-04-20

54

El equipo se cierra completamente y utiliza una correa de belcro para asegurarlo (Fig. 57). Esto permite que el equipo se pueda guardar con seguridad y llevar sin inconvenientes. Las dimensiones totales del equipo son: - Altura = 7cm - Largo = 29cm - Ancho = 25cm

Figura 57

Tras haber finalizado este equipo se abre un número de posibilidades para mejorar equipos de esta gamma o para iniciar microempresas a raíz de p royectos como estos. El estilo de vida de niños con parálisis cerebral puede cambiar radicalmente a través de avances como este, la tecnología puede hacer grandes cambios en la vida de personas con discapacitadas que no tienen otra manera de expresarse o de vivir una vida normal.

IEL2-II-04-20

55

CONCLUSIONES

Los sistemas de comunicación aumentativa y alternativa son una ayuda para personas con discapacidades físicas y motoras, este p royecto fue enfocado a un sector específico, niños entre 9 y 12 años con parálisis cerebral que no tienen capacidad de comunicarse y de realizar movimientos finos. Este equipo es una posibilidad para generar en ellos una forma de comunicación, mejorando en ellos su nivel de vida para que puedan expresar sus pensamientos en el medio que los rodea, dándoles así, un nueva forma de ver el mundo haciéndolos participes de este, de esta forma aumentan su autoestima. El equipo cumple con todas las especificaciones de diseño físico y diseño electrónico esperado, es importante recalcar en este punto la importancia que tuvo la fusión del diseño industrial con el diseño electrónico para poder finiquitar este proyecto. Estas dos ramas en conjunto se retroalimentan cumpliendo las necesidades y requerimientos del usuario, abriendo un sin número de posibilidades para generar soluciones factibles, practicas, educativas y comerciales aportando al desarrollo de estos mismos y de las instituciones implicadas en el desarrollo de esta terapia. El equipo le ofrece al niño la posibilidad de comunicarse creciendo con el, pues presenta distintos niveles de complejidad lo que lo hace muy atractivo a la hora de ser utilizado por un niño con parálisis cerebral. Estos niños son solitarios y este dispositivo les da la posibilidad de interaccionar con su entorno, de expresarse, de vivir de una forma distinta, dándoles un sentido de pertenencia e importancia en el medio que los rodea. Los niveles de complejidad los ayudan a mejor su autoestima, ya que son retos que poco a poco van superando. Las terapeutas pueden manejar el equipo con facilidad, este tiene botones claros y permite que ella haga parte activa de la terap ia. Con los botones p redeterminados la terapeuta puede informarse sobre las necesidades del niño mejorando la higiene, la atención, y el estado emocional del paciente. La voz grabada en el equipo pertenece a la persona encargada del niño, lo que permite familiarizarlo con el equipo, generándole confianza y sentido de pertenencia. Esta característica mejora la terap ia haciéndola amena y efectiva. El equipo ofrece una adap tación a cualquier medio tanto el botón para el usuario como el cuerpo de equipo son portátiles permitiendo ser utilizados en cualquier situación y ambiente. Esto es de gran ventaja para el desarrollo del niño fuera de la sala de terap ia, sus padres pueden hacer terapia con el en cualquier momento ayudándolo a mejorar ráp idamente su situación.

IEL2-II-04-20

56

El equipo es atractivo por sus colores y formas, esta dirigido a un rango de edad (8 a 15 años), esta característica en conjunto con su peso, resistencia, forma, practicidad, higiene y practicidad lo hace un buen candidato para entrar al campo comercial. El equipo gracias a su facilidad de manejo no es utilizado solo por terapeutas, también por padres o personas responsables del niño de esta manera un persona externa puede adquirir el equipo para uso propio sin necesidad de una terapeuta. El diseño electrónico p lantea una organización de componentes que le permiten al usuario manipular el equipo fácilmente. Por esa razón existen diferentes tarjetas electrónicas que al parecer podría tener el mismo uso o se podría utilizar en el mismo espacio sin embargo, como ya lo mencione anteriormente, es necesario tener en cuenta las especificaciones realizadas durante el diseño físico para determinar como organizar las parte del diseño electrónico. El equipo abre la posibilidad de retomarse para mejorarse tanto en el diseño físico como en el diseño electrónico, una de los posible avances a nivel de diseño electrónico es haciendo otra manera para poder escoger una casilla que no sea por medio de un botón, por ejemplo con un infrarrojo o con mouse inalámbrico. En cuanto a diseño físico se puede utilizar otro método para hacer brillar las casillas y darles una mayor nitidez, también se podrían hacer cambios en los materiales usados para mejorar la resistencia, en fin se abre un sin número de posibilidad para aumentar la capacidad funcional del equipo.

IEL2-II-04-20

57

BIBLIOGRAFÍA [1] Sistemas Aumentativos de Comunicación. Santiago Torres Monreal. http ://www.campusvirtual.uma.es/sac/_contenidos/. 2001 [2] ISD2560.pdf. Winbond Electronic Corporation. Revision 1.0. M ayo 2003 [3] La comunicación aumentativa y alternativa: Comprende mi mundo”. Nahir de Salazar, Gabriel Lara, Sandra Guido, Lucila Obando e Irma Toro. [4] La comunicación aumentativa y cognitiva en niños de 10 a 13 años con parálisis cerebral, Ensayo recapitulativo. Geraldine Chevillotte. Universidad de los Andes. [5] www.telability .com . Comunicación Aumentativa y Alternativa. [6] Discapacidad y modalidades aumentativas – alternativas para la comunicación. Néstor Antonio Pardo Rodríguez. Universidad Nacional de Colombia. http ://www.geocities.com/nestorpardo2000/comunicacionalternativa.html [7] PICC LITE C MANUAL. pdf. HI-TECH Software. 2004 [8] PIC16F87XA Datasheet.pdf. Microchip Technology Inc. 2003 [9] LM386.pdf. National Semiconductor. Agosto, 2004 [10] Amplificadores Operacionales: teoría y sus aplicaciones. Arthur B. Williams, 1988

IEL2-II-04-20

58

ANEXOS

IEL2-II-04-20

59

A.I CÓDIGO EN VHDL PARA EL BLOQUE CONTADOR ENTITY contador IS PORT( clk : IN STD_LOGIC; onoff : IN STD_LOGIC; bparar, bcasilla : IN STD_LOGIC;

velocidad : IN STD_LOGIC_VECTOR(1 downto 0); --10 mas time : OUT STD_LOGIC); END contador; ARCHITECTURE a OF contador IS TYPE STATE_TYPE IS (st1, st2, st3, st4); SIGNAL state: STATE_TYPE; signal cont: std_logic_vector(3 downto 0); signal tiempo: std_logic_vector(3 downto 0); signal auxvel: std_logic_vector(3 downto 0); BEGIN PROCESS (clk) BEGIN IF onoff = '0' THEN state <= st1; ELSIF clk'EVENT AND clk = '1' THEN CASE state IS WHEN st1 => IF onoff = '1' THEN cont <= "0000"; tiempo <= "0100"; time <= '0'; auxvel <= "0010"; state <= st2; ELSE state <= st1; END IF; WHEN st2 => cont <= cont+1; IF velocidad = "10" THEN state <= st4; --Aumenta la velocidad

ELSIF velocidad = "01" and auxvel /= "000" THEN state<= st3; --Disminuye la velocidad ELSIF cont = "0010" THEN time <= '0'; state <= st2; ELSIF cont = tiempo THEN time <= '1'; cont <= "0000"; state <= st2; ELSIF bparar = '1' THEN time <= '1'; cont <= "0000"; state <= st2; ELSIF bcasilla = '1' THEN time <= '1'; cont <= "0000"; state <= st2; ELSE state <= st2; END IF; WHEN st3 => IF velocidad = "00" THEN auxvel <= auxvel-1; tiempo <= "0011" + auxvel; state <= st2; ELSE state <= st3; END IF; WHEN st4 => IF velocidad = "00" THEN auxvel <= auxvel+1; tiempo <= "0011" + auxvel; state <= st2; ELSE state <= st4; END IF; END CASE; END IF; END PROCESS; END a;

IEL2-II-04-20

60

A.II CÓDIGO EN VHDL PARA EL BLOQUE SECUENCIA ENTITY secuencia IS PORT( clk : IN STD_LOGIC; onoff : IN STD_LOGIC; bgrabar, bparar, breprod, bcasilla, time : IN STD_LOGIC; ncasilla : IN STD_LOGIC_VECTOR(4 downto 0); luz : OUT STD_LOGIC; cas : OUT STD_LOGIC; --casillas completas sig : OUT STD_LOGIC); END secuencia; ARCHITECTURE a OF secuencia IS TYPE STATE_TYPE IS (st1, st2, st3, st4, st5, st6, st7); SIGNAL state: STATE_TYPE; signal aux: std_logic; signal auxcas: std_logic; signal auxluz: std_logic; --signal cont: std_logic_vector(2 downto 0); --signal auxtime: std_logic_vector(2 downto 0); signal contcas: std_logic_vector(4 downto 0); BEGIN PROCESS (clk) BEGIN IF onoff = '0' THEN state <= st1; ELSIF clk'EVENT AND clk = '1' THEN CASE state IS WHEN st1 => --APAGADO IF onoff = '1' THEN contcas <= "00000"; aux <= '0'; auxluz <= '0'; auxcas <= '0'; state <= st2; END IF; WHEN st2 => --PRENDIDO IF auxcas = '1' and bgrabar = '1' THEN state <= st2; ELSIF auxcas = '1' and breprod = '1' THEN state <= st2; ELSIF bgrabar = '1' THEN contcas <= "00000"; state <= st3; ELSIF breprod= '1' THEN contcas <= "00000"; auxcas <= '0'; state <= st5; ELSE auxluz <= '0'; auxcas <= '0'; contcas <= "00000"; state <= st2; END IF; WHEN st3 => --SECUENCIA PARA GRABAR IF (contcas = ncasilla) THEN aux <= '0'; auxcas <= '1'; state <= st2; ELSIF time = '0' THEN state <= st4; --APAGAR CASIILA EN GRABACION ELSIF bparar = '1' THEN aux <= '0';

IEL2-II-04-20

61

auxluz <= '1'; state <= st3; ELSIF bgrabar <= '0' THEN state <= st2; ELSE -- PRENDER LA CASILLA EN MODO DE OPERACIÓN aux <= '0'; auxluz <= '1'; state <= st3; END IF; WHEN st4=> --Apagar Casilla en modo Grabacion IF time = '1' THEN contcas <= contcas + 1; state <= st3; ELSE auxluz <= '0'; aux <= '1'; state <= st4; END IF; WHEN st5 => --SECUENCIA PARA REPRODUCIR IF (contcas = ncasilla) THEN aux <= '0'; auxcas <= '1'; state <= st2; ELSIF time = '0' THEN state <= st6; -- Apagar casiila en reproduccion ELSIF bcasilla = '1' THEN state <= st7; ELSIF time = '1' THEN -- Prender casilla en reproduccion aux <= '0'; auxluz <= '1'; state <= st5; ELSIF breprod = '0' THEN state <= st2; END IF; WHEN st6 => -- Apaga casilla en reproduccion IF auxcas = '1' THEN auxluz <= '0'; state <= st2; ELSIF time = '1' THEN contcas <= contcas+1; state <= st5; ELSE auxluz <= '0'; aux <= '1'; state <= st6; END IF; WHEN st7 => --Boton casilla IF bcasilla = '0' THEN contcas <= contcas - 1; auxcas <= '1'; state <= st5; ELSE state <= st7; END IF; END CASE; END IF; cas <= auxcas; luz <= auxluz; sig <= aux; END PROCESS; END a;

IEL2-II-04-20

62

A.II CÓDIGO EN VHDL PARA EL BLOQUE ILUMINACIÓN ENTITY iluminacion IS PORT( clk : IN STD_LOGIC; onoff : IN STD_LOGIC; bcasilla, sig, bluz : IN STD_LOGIC; bbrillo : IN STD_LOGIC_VECTOR (1 downto 0);-- Para el brillo 10 mas brillo, 01

menos brillo, 00 y 11 sin importancia luz : OUT STD_LOGIC; brillo : OUT STD_LOGIC_VECTOR (3 downto 0); casilla : OUT STD_LOGIC_VECTOR (4 downto 0)); END iluminacion; ARCHITECTURE a OF iluminacion IS TYPE STATE_TYPE IS (st1, st2, st3, st4, st5, st6, st7); SIGNAL state: STATE_TYPE; signal aux: std_logic; signal auxparar: std_logic; signal auxbrillo: std_logic_vector(3 downto 0); signal auxcas: std_logic_vector(4 downto 0); BEGIN PROCESS (clk) BEGIN IF onoff = '0' THEN state <= st1; aux <= '0'; ELSIF clk'EVENT AND clk = '1' THEN CASE state IS WHEN st1 => --Apagado IF onoff = '1' THEN auxcas <= "00000"; auxbrillo <= "0010"; -- Brillo inicial de dos state <= st2; auxparar <= '0'; END IF; WHEN st2 => -- Prendido IF auxparar = '1' and bluz = '1' THEN auxcas <= "00000"; state <= st2; ELSIF bluz = '1' THEN auxparar <= '0'; state <= st3; ELSE auxcas <= "00000"; aux <= '0'; state <= st2; END IF; WHEN st3 => -- Prender Casilla aux <= '1'; IF sig = '1' and bluz ='0' THEN state <= st4; ELSIF bbrillo = "01" THEN state <= st5; ELSIF bbrillo = "10" THEN state <= st6; ELSIF bcasilla = '1' THEN state <= st7; ELSIF bluz = '0' THEN state <= st2; ELSE state <= st3; END IF; WHEN st4 =>

IEL2-II-04-20

63

aux <= '0'; IF auxparar = '1' THEN state <= st2; ELSIF sig = '0' and bluz = '1' THEN auxcas <= auxcas+1; state <= st3; ELSIF bcasilla = '1' THEN state <= st7; ELSIF bluz = '0' and sig = '0' THEN state <= st2; ELSE state <= st4; END IF; WHEN st5 => IF bbrillo = "00" THEN auxbrillo <= auxbrillo-1; state <= st3; ELSE state <= st5; END IF; WHEN st6 => IF bbrillo = "00" THEN auxbrillo <= auxbrillo+1; state <= st3; ELSE state <= st6; END IF; WHEN st7 => IF bcasilla = '0' THEN auxcas <= auxcas-1; auxparar <= '1'; state <= st3; ELSE aux <= '0'; state <= st7; END IF; END CASE; END IF; luz <= aux; brillo <= auxbrillo; casilla <= auxcas; END PROCESS; END a;

IEL2-II-04-20

64

A.IV CÓDIGO EN VHDL PARA EL BLOQUE GRABACIÓN ENTITY grabacion IS PORT( clk : IN STD_LOGIC; onoff : IN STD_LOGIC; bgrabar, sig, bparar : IN STD_LOGIC; cas : IN STD_LOGIC; casillas : OUT STD_LOGIC_VECTOR (4 downto 0); memory : OUT STD_LOGIC_VECTOR (4 downto 0)); END grabacion; ARCHITECTURE a OF grabacion IS TYPE STATE_TYPE IS (st1, st2, st3, st4); SIGNAL state: STATE_TYPE; signal aux: std_logic_vector(4 downto 0); signal auxcass: std_logic; signal auxcas: std_logic_vector(4 downto 0); BEGIN PROCESS (clk) BEGIN IF onoff = '0' THEN state <= st1; aux <= "00000"; auxcas <= "00000"; ELSIF clk'EVENT AND clk = '1' THEN CASE state IS WHEN st1 => IF onoff = '1' THEN state <= st2; auxcass <= '0'; END IF; WHEN st2 => IF auxcass = '1' and bgrabar = '1' THEN state <= st2; ELSIF bgrabar = '1' and bparar = '0' THEN state <= st3; ELSE auxcass <= '0'; state <= st2; END IF; WHEN st3 => aux <= aux+1; --- Memoria IF cas = '1' THEN auxcass <= '1'; state <= st2; ELSIF bgrabar = '0' THEN state <= st2; ELSIF sig = '1' and bparar = '0' THEN state <= st4; ELSIF sig = '0' and bparar = '1' THEN state <= st2; ELSIF bparar = '1' THEN state <= st2; ELSE state <= st3; END IF; WHEN st4 => IF bparar = '1' THEN state <= st2; ELSIF sig = '0' THEN auxcas <= auxcas+1; state <= st3; ELSE state <= st4; END IF; END CASE; END IF; casillas <= auxcas; memory <= aux; END PROCESS; END a;

IEL2-II-04-20

65

A.V CÓDIGO EN VHDL PARA EL BLOQUE REPRODUCCIÓN ENTITY reproduccion IS PORT( Clk : IN STD_LOGIC; onoff : IN STD_LOGIC; breprod, bcasilla, sig, cas : IN STD_LOGIC; sonido : OUT STD_LOGIC; memory : OUT STD_LOGIC_VECTOR (4 downto 0); memoria : OUT STD_LOGIC_VECTOR (4 downto 0); casilla : OUT STD_LOGIC_VECTOR (4 downto 0)); END reproduccion; ARCHITECTURE a OF reproduccion IS TYPE STATE_TYPE IS (st1, st2, st3, st4, st5); SIGNAL state: STATE_TYPE; signal aux: std_logic; signal auxcass: std_logic; signal actm: std_logic_vector(4 downto 0); signal lastm: std_logic_vector(4 downto 0); signal auxcas: std_logic_vector(4 downto 0); BEGIN PROCESS (clk) BEGIN IF onoff = '0' THEN state <= st1; aux <= '0'; ELSIF clk'EVENT AND clk = '1' THEN CASE state IS WHEN st1 => --Apagado IF onoff = '1' THEN auxcas <= "00000"; actm <= "00000"; lastm <= "00000"; state <= st2; aux <= '0'; END IF; WHEN st2 => -- Prendido IF auxcass = '1' and breprod = '1' THEN state <= st2; ELSIF breprod = '1' and aux = '1' THEN state <= st2; ELSIF breprod = '1' THEN aux <= '0'; state <= st3; ELSE state <= st2; END IF; WHEN st3 => -- Reproduccir IF cas = '1' THEN auxcass <= '1'; state <= st2; ELSIF breprod = '0' THEN state <= st2; ELSIF bcasilla = '1' THEN state <= st5; --ELSIF sig = '1' and aux = '1' THEN --state <= st3; ELSIF sig = '1' THEN --Pasa a la siguiente casilla state <= st4; ELSIF sig = '0' THEN --Reproduce actm <= actm+1; sonido <= '1'; state <= st3; END IF; WHEN st4 => sonido <= '0'; IF aux = '1' THEN

IEL2-II-04-20

66

state <= st2; ELSIF bcasilla = '1' THEN state <= st5; ELSIF sig = '1' THEN lastm <= actm; auxcas <= auxcas+1; state <= st3; ELSE state <= st4; END IF; WHEN st5 => IF bcasilla = '0' THEN actm <= lastm; auxcas <= auxcas-1; aux <= '1'; state <= st3; ELSE sonido <= '0'; state <= st5; END IF; END CASE; END IF; memoria <= lastm; memory <= actm; casilla <= auxcas; END PROCESS; END a;

IEL2-II-04-20

67

A.VI CÓDIGO EN VHDL PARA EL BLOQUE TOTAL ENTITY Total IS PORT ( CLK,onoff :IN STD_LOGIC; reprod, casilla, grabar, parar :IN STD_LOGIC; casillas :IN STD_LOGIC_VECTOR (4 downto 0); velo, brillo :IN STD_LOGIC_VECTOR (1 downto 0); sound :OUT STD_LOGIC; casillarep :OUT STD_LOGIC_VECTOR (4 downto 0); memorya :OUT STD_LOGIC_VECTOR (4 downto 0); --MEMORY memorylast :OUT STD_LOGIC_VECTOR (4 downto 0); --MEMORIA ligth :OUT STD_LOGIC; brigth :OUT STD_LOGIC_VECTOR (3 downto 0); casillalum :OUT STD_LOGIC_VECTOR (4 downto 0); casillagrab :OUT STD_LOGIC_VECTOR (4 downto 0); memory :OUT STD_LOGIC_VECTOR (4 downto 0)); END Total; ARCHITECTURE funcional OF Total IS COMPONENT contador PORT( clk : IN STD_LOGIC; onoff : IN STD_LOGIC; bparar : IN STD_LOGIC; bcasilla : IN STD_LOGIC; velocidad : IN STD_LOGIC_VECTOR(1 downto 0); time : OUT STD_LOGIC); END COMPONENT; COMPONENT secuencia PORT( clk : IN STD_LOGIC; onoff : IN STD_LOGIC; bgrabar : IN STD_LOGIC; bparar : IN STD_LOGIC; breprod : IN STD_LOGIC; bcasilla : IN STD_LOGIC; time : IN STD_LOGIC; ncasilla : IN STD_LOGIC_VECTOR(4 downto 0); luz : OUT STD_LOGIC; cas : OUT STD_LOGIC; sig : OUT STD_LOGIC); END COMPONENT; COMPONENT iluminacion PORT( clk : IN STD_LOGIC; onoff : IN STD_LOGIC; bcasilla : IN STD_LOGIC; sig : IN STD_LOGIC; bluz : IN STD_LOGIC; bbrillo : IN STD_LOGIC_VECTOR (1 downto 0); luz : OUT STD_LOGIC; brillo : OUT STD_LOGIC_VECTOR (3 downto 0); casilla : OUT STD_LOGIC_VECTOR (4 downto 0)); END COMPONENT; COMPONENT reproduccion PORT( clk : IN STD_LOGIC; onoff : IN STD_LOGIC; breprod : IN STD_LOGIC; bcasilla : IN STD_LOGIC; sig : IN STD_LOGIC; cas : IN STD_LOGIC; sonido : OUT STD_LOGIC; memory : OUT STD_LOGIC_VECTOR (4 downto 0); memoria : OUT STD_LOGIC_VECTOR (4 downto 0); casilla : OUT STD_LOGIC_VECTOR (4 downto 0)); END COMPONENT; COMPONENT grabacion

IEL2-II-04-20

68

PORT( clk : IN STD_LOGIC; onoff : IN STD_LOGIC; bgrabar : IN STD_LOGIC; sig : IN STD_LOGIC; bparar : IN STD_LOGIC; cas : IN STD_LOGIC; casillas : OUT STD_LOGIC_VECTOR (4 downto 0); memory : OUT STD_LOGIC_VECTOR (4 downto 0)); END COMPONENT; SIGNAL tm :STD_LOGIC; SIGNAL auxluz :STD_LOGIC; SIGNAL auxsig :STD_LOGIC; SIGNAL ncas :STD_LOGIC; BEGIN U1: contador PORT MAP (CLK, onoff, parar, casilla, velo, tm); U2: secuencia PORT MAP (CLK, onoff, grabar, parar, reprod, casilla, tm, casillas,auxluz, ncas, auxsig); U3: iluminacion PORT MAP (CLK, onoff, casilla, auxsig, auxluz, brillo, lig th, brigth, casillalum); U4: reproduccion PORT MAP (CLK, onoff, reprod, casilla, auxsig, ncas, sound, memoryact, memorylast, casillarep); u5: grabacion PORT MAP (CLK, onoff, grabar, auxsig, parar, ncas, casillagrab, memory); end;

IEL2-II-04-20

69

A.VII CÓDIGO DEL PROGRAMA EJECUTADO POR EL PIC16F877 #include <pic168xa.h> void func_play(unsigned char,int,int); // Función encargada de reproducir las casillas void func_play_casilla(unsigned char,int); // Función encargada de reproducir la casilla escogida por el usuario void func_record(unsigned char,int,int); // Función encargada de grabar las casillas void func_iluminar(int); // Función encargada de iluminar cada casilla void func_apagar(void); // Función encargada de apagar la matriz de leds void func_parar(void); // Función encargada de detener las acciones cuando se oprime el botón parar void delay_10ms(long); // Delay de múltiplos de 10ms void PD_HIGH(void); // Función que pone PD en HIGH void PD_LOW(void); // Función que pone PD en LOW void CE_HIGH(void); // Función que pone CE en HIGH void CE_LOW(void); // Función que pone CE en LOW void PR_HIGH(void); // Función que pone PR en HIGH void PR_LOW(void); // Función que pone PR en LOW static unsigned int bc; // Constante que indica que ya se oprimió el botón casilla static unsigned int nc; // Constante que indica que ya se oprimió el botón numero de casilla void main () unsigned char addres; // Almacena la dirección inicial para grabar o reproduccir int numcasillas=0; // El numero de casillas a proceder int n=0; // Casilla inicial desde donde se reproduce o graba int rec=0; // En estado Normal indica que ya se grabo en las casillas especificas // Configuración de los puertos TRISA = 0x30; //Pone los pines PA.0-PA.3 como outputs y PA.4-PA.5 como inputs ADCON1 = 0x0E; // Coloca los puertos A y E como I/O Digitales TRISB = 0xDF; //Puerto B como INPUTS TRISC = 0x00; //Puerto C como OUT PSPMODE = 0; //Configura el puerto D como I/O TRISD = 0x00; //Puerto D como Outputs TRISE = 0x00; // Puerto E como outputs // Para ahorro de energía RE2 = 1; // Testigo de prendido PD_HIGH(); CE_HIGH(); // Inicio PORTC = 0x00; PORTE = 0x04; while(1) nc=0; if (RA5 == 1) // Modo de Estado Normal numcasillas = 3; // En este modo hay 4 casillas n=17; // La casilla empiezan desde la casilla 17 addres = 0x00; // Direccion de la primera casilla if (RB1 == 1 & rec == 0) // Grabar func_record (addres,numcasillas,n); rec = 1; // Ya se grabo las casilla del estado normal else if (RB3 == 1) // Reproduccir func_play (addres,numcasillas,n);

IEL2-II-04-20

70

else if (RA4 == 1) //Modo de terapia // Testigo de terapia addres = 0x23; // Dirección de la primera casilla en este modo numcasillas = 8; // Por defecto se tomas 8 casillas n=1; // La casilla empiezan de la casilla 0 if (RB4 == 1) // La terapia va a usar 16 casillas numcasillas = 16; nc=1; if (RB1 == 1) // Grabación RB5 = 1; // Testigo de grabación func_record (addres,numcasillas,n); delay_10ms(200); // Tiempo para permitirle al usuario cambiar de opcion RE2 = 0; // Se apaga el testigo de grabación else if (RB3 == 1) // Reproducción RE2 = 1 // Testigo de reproducción func_play (addres,numcasillas,n); delay_10ms(300); //En caso que se oprima el boton casilla o termine de

reproduccir todas las casillas hay un tiempo de 3 seg para volver a reproduccir o salir del modo de terapia y dejar en stand by.

RE2 = 0; // Apaga el testigo de reproducción void func_record(unsigned char add,int numcasillas,int cas) int n; unsigned char dir=add; int casilla=cas; delay_10ms(100); // Delay de 1 seg para iniciar el proceso de grabación for(n=0; n < numcasillas; n++) PR_LOW(); // Modo de grabacion PORTD = dir; // Direccion en el puerto D PD_LOW(); // Modo de address func_iluminar(casilla); //Funcion que ilumina una determinada casilla CE_LOW(); delay_10ms(280); // Delay de 3,5 seg para grabar CE_HIGH(); PR_HIGH(); PD_HIGH(); func_apagar(); // Funcion que apaga las casillas delay_10ms(100); // Delay de 1 seg para pasar a la siguiente casilla // Si se detiene la operación if (RB2 == 1) func_parar(); dir = dir + 0x07; // Se aumenta en 7 posiciones la memoria casilla++; // Se aumenta a la siguiente casilla

IEL2-II-04-20

71

void func_play(unsigned char add,int numcasillas, int cas) int n; int casilla=cas-1; long vel=200; // Inicialmente hay 2 segundos entrre casillas unsigned char dir=add-0x07; bc=0; // bandera del boton casilla INTEDG = 1; // Habilita la interrupcion en RB0 por un rising edge GIE = 1; // Habilita interrupciones g lobalmente for(n=0;n<numcasillas & bc != 1;n++) INTE = 0; // Deshabilita interrupcion externa dir = dir + 0x07; // Se aumenta en 7 posiciones la emmoria casilla++; // Se aumenta a la siguiente casilla (observar como se inicializaron estas variables) PR_HIGH(); // Modo de reproducción PORTD = dir; // Dirección en el puerto D PD_LOW(); // Modo de addres func_iluminar(casilla); //Funcion que ilumina una determinada casilla CE_LOW(); delay_10ms(280); // Delay de 2,8 seg para reproduccir CE_HIGH(); PD_HIGH(); func_apagar(); // Funcion que apaga las casillas INTE = 1; // Habilita interrupcion externa //Delay definido por el usuario if(RB7 == 1 & vel != 1000) //1000 representa 10 seg, tiempo maximo para cambiar entre casillas; vel= vel+50; //Se aumenta 0.5 seg a el tiempo inicial if(RB6 == 1 & vel != 50) //500 representa 0.5 seg, tiempo minimo para cambiar entre casillas; vel= vel-50; // Se disminuye 0.5 seg a el tiempo inicial delay_10ms(vel); // Si se detiene la operacion if(RB2 == 1) func_parar(); GIE = 0; // Deshabilita interrupciones globales if (bc == 1) func_play_casilla(dir,casilla); /* Delay multiplo de 10 milisegundos usando timer TMRO Clock: 4 Mhz Periodo = 0.25 microsegundos */ void delay_10ms(long t) char i; OPTION = 7; do i = TMR0 + 39; // 256 microsec * 39 = 10 ms while ( i != TMR0) ;

IEL2-II-04-20

72

while ( --t > 0); void func_iluminar(int num_casillas) if(nc==1) if(num_casillas == 1) PORTC = 0x18; else if(num_casillas == 2) PORTC = 0x14; else if(num_casillas == 3) PORTC = 0x12; else if(num_casillas == 4) PORTC = 0x11; else if(num_casillas == 5) PORTC = 0x28; else if(num_casillas == 6) PORTC = 0x24; else if(num_casillas == 7) PORTC = 0x22; else if(num_casillas == 8) PORTC = 0x21; else if(num_casillas == 9) PORTC = 0x48; else if(num_casillas == 10) PORTC = 0x44; else if(num_casillas == 11) PORTC = 0x42; else if(num_casillas == 12) PORTC = 0x41; else if(num_casillas == 13) PORTC = 0x88; else if(num_casillas == 14) PORTC = 0x84; else if (num_casillas == 15) PORTC = 0x82; else if(num_casillas == 16)

IEL2-II-04-20

73

PORTC = 0x81; else if(num_casillas == 17) PORTC = 0x08; PORTE = 0x12; else if(num_casillas == 18) PORTC = 0x04; PORTE = 0x12; else if(num_casillas == 19) PORTC = 0x02; PORTE = 0x12; else if(num_casillas == 20) PORTC = 0x01; PORTE = 0x12; else if(num_casillas == 21) PORTC = 0x04; PORTE = 0x21; else if(num_casillas == 22) PORTC = 0x02; PORTE = 0x21; else if(num_casillas == 1) PORTC = 0x38; else if(num_casillas == 2) PORTC = 0x34; else if(num_casillas == 3) PORTC = 0x32; else if(num_casillas == 4) PORTC = 0x31; else if(num_casillas == 5) PORTC = 0xc8; else if(num_casillas == 6) PORTC = 0xc4; else if(num_casillas == 7) PORTC = 0xc2; else if(num_casillas == 8) PORTC = 0xc1; else if(num_casillas == 17)

IEL2-II-04-20

74

PORTC = 0x08; PORTE = 0x12; else if(num_casillas == 18) PORTC = 0x04; PORTE = 0x12; else if(num_casillas == 19) PORTC = 0x02; PORTE = 0x12; else if(num_casillas == 20) PORTC = 0x01; PORTE = 0x12; else if(num_casillas == 21) PORTC = 0x04; PORTE = 0x21; else if(num_casillas == 22) PORTC = 0x02; PORTE = 0x21; void func_apagar(void) PORTC = 0x00; PORTE = 0x00; //PD -> HIGH; void PD_HIGH( void ) RA3 = 1; delay_10ms(3); //En caso de utilizar un ISD2575 HAY QUE AUMENTAR A 35 MS //PD -> LOW void PD_LOW( void ) RA3 = 0; delay_10ms(3); void CE_HIGH( void ) RA2 = 1; void CE_LOW( void ) RA2 = 0; void PR_HIGH(void) RA1 = 1; void PR_LOW(void)

IEL2-II-04-20

75

RA1 = 0; void func_play_casilla(unsigned char dircas,int casilla) PR_HIGH();

PORTD = dircas; PD_LOW(); func_iluminar(casilla); CE_LOW(); delay_10ms(280); CE_HIGH(); PD_HIGH(); func_apagar(); void func_parar(void) while (RB2 == 1); // Funcion de interrupcion static void interrupt isr(void) if(INTF) // Si el boton casilla fue oprimido bc=1; // Habilita la bandera de boton casilla INTF = 0; // borra la interrupción

IEL2-II-04-20

76

A.VIII MANUAL DEL US UARIO CONTENIDO

Página

Mapa de panel de control 77

Inicio 78

¿Qué contiene el equipo? 78

Ensamblaje de pantalla 78

Alimentación 79

Encendido del equipo 79

Casillas predeterminadas 79

Número de casillas 79

Grabación de casillas 80

Reproducción de casillas 80

Botón de selección 81

Botón Parar 81

Aumentar y disminuir velocidad 82

Aumentar y disminuir brillo 82

Aumentar y disminuir volumen 82

IEL2-II-04-20

77

INICIO ¿QUÉ CONTIENE EL EQUIPO? El equipo viene cerrado con una correa de belcro de fácil manejo. El equipo es plegable y al abrirse la parte superior representa la pantalla donde van a ir los acetatos con las figuras correspondientes a la terap ia. La parte inferior corresponde al panel de control, el botón de selección, baterías, control de volumen, brillo, velocidad, on/off y alimentación por medio de un adap tador de 12 voltios. El equipo viene con su p rop io adap tador, con nueve acetatos de colores y con un botón de selección. Los acetatos de colores son: tres de color amarillo, tres de color rojo y tres de color azul. Estos colores permiten obtener casi cualquier color necesario para realizar la terap ia. ENSAMBLAJE DE PANTALLA La cara superior de la pantalla contiene unas pestañas que permiten colocar los siguientes objetos: 1. Primero se debe colocar los acetatos de colores, se puede colocar uno solo si se quiere conseguir un color amarillo, rojo o azul, ó si necesita utilizar otro color puede combinar estos acetatos y en el momento que reciban la luz por parte de la pantalla obtendrá el color deseado, por ejemplo: - Un acetato azul + Un acetato amarillo = Acetato verde 2. Sobre los acetatos debe colocar un acetato que permita ser impreso. Este acetato contiene las figuras de la terap ia a realizar. Recordar que la última columna se refiere a las casillas p redeterminadas. Las imágenes que se encuentran en este acetato se pueden dibujar directamente desde el p rograma Borrad M aker. 3. Después de haber colocado estas dos capas, se debe colocar la lámina que rep resenta el número de casillas a realizar. El equipo viene con una lámina para 8 casillas o 16 casillas. Ambas láminas tienen 4 casillas más que rep resentan las casillas predeterminadas. ALIMENTACION El equipo se puede alimentar de voltaje por medio de p ilas para realizar terapias donde no haya acceso a una toma de corriente o por medio de un adap tador de 12v que se viene con el equipo. El equipo necesita cuatro pilas AA de 1.2 ó 1.5 voltios. Cualquier pila de este tamaño que encuentre en el mercado sirve, sin embargo se recomiendan p ilas

IEL2-II-04-20

78

recargables de 1.2 voltios y 1300mAH que permite que el equipo funcione continuamente durante 15 horas. El compartimiento para las p ilas se encuentra el parte inferior del equipo, para colocarlas es necesario destornillar el compartimiento y colocar las cuatro p ilas con la polarización indicada. El adap tador que viene con el equipo tiene una salida DC de 9 voltios y 500mA DC. En caso de perdida utilizar un adap tador con estas características o de 12voltios DC, que se encuentran fácilmente en el mercado. La entrada del adap tador se encuentra el la parte trasera del equipo. ENCENDIDO DEL EQUIPO Primero Debe conectarse el adap tador o las p ilas al equipo siguiendo las instrucciones dada en la sección anterior. Después de realizada esta operación el botón de on/off se encuentra en la tapa inferior al extremo derecho. CASILLAS PREDETERMINADAS La última columna de casillas que tiene el equipo es para las casillas predeterminadas. Las casillas p redeterminadas son cuatro figuras a las cuales el niño debe tener acceso rápido y sin necesidad de grabar cada vez que se vallan a utilizar. Estas figuras representan: SI – NO – BAÑO – TERMINAR. Para que el equipo permita trabajar con estas casillas es necesario oprimir el botón predeterminadas ubicado en el panel de control. La reproducción o grabación de estas casillas se exp lica en la sección grabación de casillas y reproducción de casillas. NÚMERO DE CASILLAS La terap ia se puede realizar con 8 o 16 casillas. Cuando se realiza con 8 casillas el tamaño de estas es más grande que al realizarlo con 16 casillas. El equipo viene configurado para trabajar con 8 casillas, por lo tanto si lo que se desea hacer es utilizar 8 casillas, simplemente se ensambla la pantalla con plantilla correspondiente a 8 casillas, se graba y se rep roduce cada casilla. Si lo que se desea es utilizar 16 casillas entonces se debe ensamblar la pantalla con la plantilla correspondiente a 16 casillas. Se oprime el botón número de casillas y finalmente de graba y reproduce cada casilla. GRABACIÓN DE CASILLAS A continuación se exp licara la manera en que se debe grabar las casillas predeterminadas y las casillas de terap ia.

IEL2-II-04-20

79

Casillas predeterminadas: Las casillas p redeterminadas solo se pueden grabar una vez se p renda el equipo, después de prendido el equipo y grabadas, este esta configurado para no permitir que se vuelvan a grabar. La manera de grabarlas es la siguiente: 1. Prender el equipo. 2. Oprimir el botón Predeterminadas. 3. Ensamblar la pantalla con las casillas deseadas. 4. Oprimir el botón grabar. 5. Cada vez que se ilumine una casilla, se debe acercar al micrófono y grabar lo correspondiente a la figura de esa casilla. Otra manera de grabar las casillas p redeterminadas es reseteando el equipo. La manera de resetear el equipo es oprimiendo el botón reset con una aguja gruesa. Al oprimirlo se detendrá cualquier operación que este realizando y después se deben seguir los pasos dos al cinco mencionados anteriormente. Casillas de terapia: Estas casillas se pueden grabar cuantas veces quiera, la grabación es secuencial por lo tanto no puede grabar determinada casilla, esto implica que si quiere volver a grabar la casilla tres entonces tendría que volver a grabar todas las casillas por lo que se recomienda hacer la grabación en un lugar callado y sin interrupciones. La manera de grabar las casillas de terap ia es la siguiente: 1. Prender el equipo. 2. Oprimir el botón de terapia. 3. Escoger el número de casillas. 4. Ensamblar la pantalla acorde con el número de casillas escogido. 5. oprimir el botón grabar. 6. Cada vez que se ilumine una casilla, se debe acercar al micrófono y grabar lo correspondiente a la figura de esa casilla. REPRODUCCIÓN DE CAS ILLAS A continuación se explicara la manera en que se debe rep roducir las casillas predeterminadas y las casillas de terap ia. Casillas predeterminadas: Para rep roducir las casillas predeterminadas se debe seguir los siguientes pasos. 1. Prender el equipo. 2. Oprimir el botón Predeterminadas. 3. Ensamblar la pantalla con las casillas deseadas. 4. Oprimir el botón rep roducir.

IEL2-II-04-20

80

5. Cada vez que se ilumine una casilla se rep roduce lo que se había grabado anteriormente, correspondiente a esa casilla. Casillas terapia: Para rep roducir las casillas de terapia se debe seguir los siguientes pasos: 1. Prender el equipo. 2. Oprimir el botón de terapia. 3. Escoger el número de casillas. 4. Ensamblar la pantalla acorde con el número de casillas escogido. 5. oprimir el botón grabar. 6. Cada vez que se ilumine una casilla se rep roduce lo que se había grabado anteriormente, correspondiente a esa casilla. BOTÓN DE S ELECCIÓN El botón de selección se encuentra ubicado en la parte inferior del equipo. El tamaño de este botón permite ubicarlo en cualquier parte a la cual el niño tenga fácil acceso, por ejemplo: La cabeza, una mesa, la silla de rueda, etc. Este botón se puede sacar fácilmente de su compartimiento y tiene un cable de un metro y medio que permite ubicarlo en la posición deseada. Su área de presión es toda la de color verde fluorescente por lo tanto no es necesario que el niño oprima una determinada región del botón para que este funcione. La función del botón selección es permitirle al niño escoger la casilla que expresa su idea. La manera que funciona es la siguiente: 1. Se inicia la reproducción de las casillas. 2. Cuando se rep roduzca la casilla deseada por el niño, este oprime el botón de selección. 3. La casilla se vuelve a rep roducir y la terapeuta realiza la actividad relacionada con la casilla seleccionada. 4. El equipo queda en modo de esperar. La terapeuta puede cambiar la lámina de figuras ó volver a realizar la terap ia oprimiendo el botón rep roducir. BOTÓN PARAR Si se desea para la actividad realizada por el equipo, ya sea grabando o rep roduciendo la manera de hacerlo es oprimiendo el botón parar ubicado en el panel de control. Se debe oprimir este botón mientras el equipo pasa de una casilla a otra. Cuando se suelta el botón parar el equipo vuelve a iniciar la rep roducción o la grabación desde la última casilla trabajada, por ejemplo: Si se esta grabando la casilla 9 y al finalizar la grabación se oprime el botón parar, el equipo para la actividad y al soltar el botón entonces el equipo empieza a grabar

IEL2-II-04-20

81

desde la casilla 10 sin perder la información guardada en las casillas anteriores. Igualmente para rep roducción. AUMENTAR Y DISMINUIR VELOCIDAD El tiempo de reproducción entre casillas se puede variar por medio de los botones de aumentar y disminuir velocidad ubicados en el panel de control. El equipo viene configurado para dejar entre casillas un tiempo de 3 segundos, este tiempo se puede disminuir hasta dejar entre casillas 0.5 segundo o se puede aumentar hasta 10 segundos dependiendo de lo que p redetermine la terapeuta. Esta cualidad del equipo permite variar niveles de dificultad para así ir aumentando la autoestima del nivel a través de cada nivel. AUMENTAR Y DISMINUIR BRILLO El brillo de las casillas se puede aumentar y disminuir por medio de la perilla de brillo ubicada en el panel de control. Esto permite que a niños con p roblemas auditivos pero con una visibilidad normal puedan realizar la terap ia sin depender tanto del sonido de las casillas si no del brillo de estas a la hora de reproducirse. AUMENTAR Y DISMINUIR VOLUMEN El volumen de rep roducción de las casillas se puede aumentar y disminuir por medio de la perilla de volumen ubicada en lateral derecho del equipo. Esto permite que a niños con p roblemas visuales pero con una audición normal puedan realizar la terap ia sin depender tanto del brillo de las casillas si no del volumen a la hora de reproducirse.

IEL2-II-04-20

82

A.IX PLANOS DEL EQUIPO