utfpr – prof. carlos raimundo erig lima. gerador de paridade – verifica se o número de ´1´ é...

9
UTFPR – Prof. Carlos Raimundo Erig Lima

Upload: internet

Post on 17-Apr-2015

107 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: UTFPR – Prof. Carlos Raimundo Erig Lima. Gerador de Paridade – verifica se o número de ´1´ é impar Especifica um parâmetro genérico

UTFPR – Prof. Carlos Raimundo Erig Lima

Page 2: UTFPR – Prof. Carlos Raimundo Erig Lima. Gerador de Paridade – verifica se o número de ´1´ é impar Especifica um parâmetro genérico
Page 3: UTFPR – Prof. Carlos Raimundo Erig Lima. Gerador de Paridade – verifica se o número de ´1´ é impar Especifica um parâmetro genérico

Gerador de Paridade – verifica se o número de ´1´ é impar

Especifica um parâmetrogenérico.

Page 4: UTFPR – Prof. Carlos Raimundo Erig Lima. Gerador de Paridade – verifica se o número de ´1´ é impar Especifica um parâmetro genérico

O gerador de paridade pode trabalhar com parâmetro variável de entrada. O barramento pode, por exemplo apresentar 4 bits. Verificar a simulação para outros valores de tamanho de barramento.

Page 5: UTFPR – Prof. Carlos Raimundo Erig Lima. Gerador de Paridade – verifica se o número de ´1´ é impar Especifica um parâmetro genérico

Define um tipo vector_array

Page 6: UTFPR – Prof. Carlos Raimundo Erig Lima. Gerador de Paridade – verifica se o número de ´1´ é impar Especifica um parâmetro genérico
Page 7: UTFPR – Prof. Carlos Raimundo Erig Lima. Gerador de Paridade – verifica se o número de ´1´ é impar Especifica um parâmetro genérico
Page 8: UTFPR – Prof. Carlos Raimundo Erig Lima. Gerador de Paridade – verifica se o número de ´1´ é impar Especifica um parâmetro genérico

Crie um código VHDL para o circuito da figura. A palavra ‘AULA_LOGICA’ deverá ser mostrada de forma circular em um display de 7 segmentos. Modifique o código VHDL da ROM para carregar mensagens.

0000 – 00001 – 10010 - 2…0100 – 81000 – A1001- B1010 – _1011 – C1100 – G1101 – U1110 – L1111 - I

Page 9: UTFPR – Prof. Carlos Raimundo Erig Lima. Gerador de Paridade – verifica se o número de ´1´ é impar Especifica um parâmetro genérico

Crie um código VHDL para o circuito da figura. Dois textos com mais de cinco carcteres deverão aparecer de forma circular nos quatro display. As chaves permitem que o sentido e a velocidade dos textos mudem.