7 シリーズ プラットフォームに実装した axi tft …...controller...

16
© Copyright 2014 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. HDMI and High-Definition Multimedia Interface are trademarks of HDMI Licensing LLC. All other trademarks are the property of their respective owners. 本資料は表記のバージ ョ ンの英語版を翻訳し たもので、内容に相違が生じ る場合には原文を優先し ます。 資料によっては英語版の更新に対応していないものがあります。 日本 語版は参考用 と し てご使用の上、 最新情報につき ま し ては、 必ず最新英語版を ご参照 く だ さ い。 XAPP1215 (v1.0) 2014 7 18 japan .xilinx.com 1 概要 このアプリケーション ノ ー ト で は、 Kintex®-7 FPGA KC705 評価キ ッ ト を用いて LogiCORE™ IP AXI TFT (Thin Film Transistor) コアを用いたシンプルな統合ディスプレイ システムをデモンストレー シ ョ ン し ま す。 AXI TFT Controller コアは、 DDR メモリのフレーム バッファーを使用して、 解像度 640x480 DVI モードおよび VGA モ ー ド を サ ポ ー ト し ま す。 こ の ア プ リ ケ ー シ ョ ン ノ ー ト で は、 KC705 評価ボードで Vivado® Design Suite IP インテグレーターを利用して DVI モードの AXI TFT Controller コアを実装する方法について詳し く説明します。 また、 フレーム バ ッ フ ァ ーの管理について も言及します。 付属システム リファレンス デザインは、 Vivado Design Suite : System Edition 2014.1 を使用して作成および構築さ れています。 Vivado Design Suite を利用することによって、 IP ブロックをインスタンシエート、 コン フ ィギュレーシ ョ ン、 および接続して複雑な統合システムを構築する作業が簡略化されます。 このデザ インには、ザイリンクスのソフトウェア開発キット (SDK) を使用して構築されたソフトウェア アプリ ケーシ ョ ンも含まれます。このアプ リ ケーシ ョ ンは MicroBlaze™ エンベデッ ド プロセッサ上で動作し、 制御、 ステータス、 モニターの各機能を実行します。 リ ファレンス デザインには IP インテグレーター および SDK の完全なプロジェク ト フ ァ イルが含まれてお り 、 デザイ ンの検証や変更に活用し た り 、 新 規デザインのテンプレート と して使用できます。 このアプリケーション ノ ー ト に付属す る ZIP ファイル (xapp1215-axi-tft-on-7-series.zip) は、リファレンス システム (tft_top_kc705) とソフトウェア アプ リ ケーシ ョ ン (app_invader) が含 まれています。 詳細は、 「リファレンス デザイン」 を参照してください。 はじめに FPGA ベースの複雑なビデオ システム アーキテクチャを設計する こ とは容易ではあ り ません。 システ ムの性能要件を満たす決定的な要素は、 イ ン ターコネ ク ト 、 メ モ リ アーキテクチャ、 そしてビデオ信号 の タ イ ミ ン グ です。 AXI TFT Controller コ アは、解像度 640x480 のディスプレイ デバイス用ハードウェア ディスプレイ ン ト ローラーです。 VGA または DVI インターフェイスを使用して、最大 256K 色を表示できます。 デ ザインには、接続されたメモリ デバイスからビデオ データを読み出して TFT ディスプレイへデータを 転送するための AXI4 マスター インターフェイスが含まれます。また、レジスタへアクセスするための AXI4 スレーブ インターフェイスもあります。 AXI TFT Controller コアは、 ピクセル データを内部ライン バッファーに格納し、 画像を正し く表示で きる適切なタイミングで TFT デバイスにデータを送信します。 640x480 TFT ディスプレイのすべての ラインとフレームに対して、 このプロセスが連続で繰り返されます。 ハードウェア要件 AXI TFT ディスプレイ コントローラーのリファレンス デザインには、次のハードウェア コンポーネン ト が必要です。 Kintex-7 FPGA KC705 評価ボード (Rev. 1.1) (x 1) KC705 ユニバーサル 12v 電源アダプター (x 1) Avnet 社製 DVI I/O FMC モジュール (モデル AES-FMC-DVI-G) (x 1) 高品質 HDMI™ ケーブル (x 1) TFT ディスプレイ デバイス (x 1) Type-A/Mini-B 5 ピン USB ケーブル (x 1) Type-A/Micro-B 5 ピン USB ケーブル (x 1) アプリケーション ノート : 7 シリーズ XAPP1215 (v1.0) 2014 7 18 7 シリーズ プ ラ ッ ト フ ォ ームに実装 し た AXI TFT (Thin Film Transistor) Controller コア 著者 : Ravi Kiran BodduPankaj Khumbare

Upload: others

Post on 10-Jun-2020

4 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: 7 シリーズ プラットフォームに実装した AXI TFT …...Controller コアを実装する方法について詳しく説明します。また、フレーム バッファーの管理について

© Copyright 2014 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. HDMI and High-Definition Multimedia Interface are trademarks of HDMI Licensing LLC. All other trademarks are the property of their respective owners.

本資料は表記のバージ ョ ンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。 資料によっては英語版の更新に対応していないものがあります。 日本語版は参考用としてご使用の上、 最新情報につきましては、 必ず最新英語版をご参照く ださい。

XAPP1215 (v1.0) 2014 年 7 月 18 日 japan.xilinx.com 1

概要 このアプ リ ケーシ ョ ン ノー ト では、 Kintex®-7 FPGA KC705 評価キッ ト を用いて LogiCORE™ IPAXI TFT (Thin Film Transistor) コアを用いたシンプルな統合ディ スプレイ システムをデモンス ト レーシ ョ ンします。 AXI TFT Controller コアは、 DDR メモ リのフレーム バッファーを使用して、 解像度640x480 の DVI モードおよび VGA モード をサポー ト し ます。 このアプ リ ケーシ ョ ン ノー ト では、KC705 評価ボードで Vivado® Design Suite IP インテグレーターを利用して DVI モードの AXI TFTController コアを実装する方法について詳し く説明します。 また、 フレーム バッファーの管理についても言及します。

付属システム リ ファレンス デザインは、 Vivado Design Suite : System Edition 2014.1 を使用して作成および構築されています。 Vivado Design Suite を利用するこ とによって、 IP ブロ ッ クをインスタンシエート、 コンフ ィギュレーシ ョ ン、 および接続して複雑な統合システムを構築する作業が簡略化されます。 このデザインには、 ザイ リ ンクスのソフ ト ウェア開発キッ ト (SDK) を使用して構築されたソフ ト ウェア アプリケーシ ョ ンも含まれます。このアプリ ケーシ ョ ンは MicroBlaze™ エンベデッ ド プロセッサ上で動作し、制御、 ステータス、 モニターの各機能を実行します。 リ ファレンス デザインには IP インテグレーターおよび SDK の完全なプロジェク ト ファ イルが含まれており、 デザインの検証や変更に活用したり、 新規デザインのテンプレート と して使用できます。

このアプリ ケーシ ョ ン ノートに付属する ZIP ファ イル (xapp1215-axi-tft-on-7-series.zip) には、リ ファレンス システム (tft_top_kc705) と ソフ ト ウェア アプリ ケーシ ョ ン (app_invader) が含まれています。 詳細は、 「 リ ファレンス デザイン」 を参照してください。

はじめに FPGA ベースの複雑なビデオ システム アーキテクチャを設計するこ とは容易ではあ り ません。 システムの性能要件を満たす決定的な要素は、 インターコネク ト、 メモ リ アーキテクチャ、 そしてビデオ信号のタイ ミ ングです。

AXI TFT Controller コアは、解像度 640x480 のディ スプレイ デバイス用ハード ウェア ディ スプレイ コン ト ローラーです。 VGA または DVI インターフェイスを使用して、 最大 256K 色を表示できます。 デザインには、 接続されたメモ リ デバイスからビデオ データを読み出して TFT ディ スプレイへデータを転送するための AXI4 マスター インターフェイスが含まれます。 また、 レジスタへアクセスするためのAXI4 スレーブ インターフェイスもあ り ます。

AXI TFT Controller コアは、 ピクセル データを内部ライン バッファーに格納し、 画像を正し く表示できる適切なタイ ミ ングで TFT デバイスにデータを送信します。 640x480 TFT ディ スプレイのすべてのラインとフレームに対して、 このプロセスが連続で繰り返されます。

ハードウェア要件 AXI TFT ディ スプレイ コン ト ローラーのリ ファレンス デザインには、次のハード ウェア コンポーネントが必要です。

• Kintex-7 FPGA KC705 評価ボード (Rev. 1.1) (x 1)

• KC705 ユニバーサル 12v 電源アダプター (x 1)

• Avnet 社製 DVI I/O FMC モジュール (モデル AES-FMC-DVI-G) (x 1)

• 高品質 HDMI™ ケーブル (x 1)

• TFT ディ スプレイ デバイス (x 1)

• Type-A/Mini-B の 5 ピン USB ケーブル (x 1)

• Type-A/Micro-B の 5 ピン USB ケーブル (x 1)

アプリケーシ ョ ン ノート : 7 シリーズ

XAPP1215 (v1.0) 2014 年 7 月 18 日

7 シリーズ プラッ ト フォームに実装した AXI TFT (Thin Film Transistor) Controller コア 著者 : Ravi Kiran Boddu、 Pankaj Khumbare

Page 2: 7 シリーズ プラットフォームに実装した AXI TFT …...Controller コアを実装する方法について詳しく説明します。また、フレーム バッファーの管理について

ソフ トウェア要件

XAPP1215 (v1.0) 2014 年 7 月 18 日 japan.xilinx.com 2

ソフ トウェア要件 AXI TFT ディ スプレイ コン ト ローラーのソフ ト ウェア ツール要件

• Vivado Design Suite 2014.1 : System Edition

リファレンス システム仕様

このデザインには、AXI TFT Controller コアを評価するために必要な最小限のコンポーネン トが含まれています。 コアの機能を示すために、 スペース インベーダーをベースと した単純なゲームがソフ ト ウェア アプリ ケーシ ョ ンに組み込まれています。

図 1 に、 リ ファレンス デザインのブロ ッ ク図を示します。 表 1 には、 IP コアおよびそれらのベース アドレス値と高位アドレス値を示しています。 TFT Controller の出力は、 12 ビッ ト DVI インターフェイス用に設定されています。 ソフ ト ウェア アプリ ケーシ ョ ンが外部 IIC バス インターフェイス モジュールを使用し、 DVI I/O FMC ト ランス ミ ッ ター モジュールを構成します。

DDR メモ リ を使用して、ビデオ データおよびアプリ ケーシ ョ ン コードを格納します。有効の場合、AXITFT Controller コアは、バース ト ト ランザクシ ョ ンを用いて AXI4 インターフェイス経由で DDR メモリからビデオ データの読み出しを開始します。 GPIO コアを使用して、 ゲームの制御に使用されるオンボードのプッシュ ボタンをマップします。

X-Ref Target - Figure 1

図 1 : TFT コン ト ローラー システムのブロック図

Page 3: 7 シリーズ プラットフォームに実装した AXI TFT …...Controller コアを実装する方法について詳しく説明します。また、フレーム バッファーの管理について

ソフ トウェア アプリケーシ ョ ン

XAPP1215 (v1.0) 2014 年 7 月 18 日 japan.xilinx.com 3

ソフ トウェアアプリケーシ ョ ン

ソフ ト ウェア アプ リ ケーシ ョ ンには、 基本的なディ スプレイ テス ト と単純なゲームが組み込まれています。 このアプ リ ケーシ ョ ンを使用して、 ディ スプレイ バッファーの管理および AXI TFT Controllerコアとの協調をデモンス ト レーシ ョ ンします。 また、 スペース インベーダーをベースとする単純なゲームがプログラムされています。 画面に現れる物体を動かす制御機能は、 KC705 評価ボード上の GPIOプッシュ ボタンにマップされています。

ゲームのシナリオは、 敵キャラ ク ターが左右に移動しながら画面最下部にあるシューターに向かって降下しながら緑色のミサイルを発射します。 プレーヤーは、 緑色のミサイルを避けるためにシューターを左右に移動して、 敵キャラ ク ターに向かって白色のミサイルを発射します。 シューターの上にある物体に隠れる と ミサイル攻撃から身を守るこ とができます。 敵キャラ ク ターを全滅させる と、 次のレベルへ進むこ とができます。

左右のプッシュ ボタンはシューターを左右に移動し、 中央のプッシュ ボタンはミサイルを発射します。画面上のすべての移動物体の表示は、 ソフ ト ウェア アプリ ケーシ ョ ンで操作されます。

ハードウェアの構築 このセクシ ョ ンでは、 Vivado IP インテグレーターを用いたハード ウェア デザインの再構築について説明します。 プロジェク ト を再構築する前に、 Vivado design suite 2014.1 : System Edition がインス トールされているこ とを確認して ください。

Vivado ツールのデザイン フロー

次の手順に従って、 TFT コン ト ローラー デザインを開いて再構築します。

1. このアプリ ケーシ ョ ン ノートに付属する リ ファレンス デザイン ファ イル (13 ページの 「リ ファレンス デザイン」 参照) を解凍します。 デザイン ファ イルが置かれるローカル フォルダーを <unzipdir> と称します。

2. Vivado Design Suite を起動します。

Windows の場合 :

デスク ト ップ上の Vivado 2014.1 シ ョート カッ ト アイコンをダブルク リ ッ ク、 または [スタート ] → [Xilinx Design Tools] → [Vivado 2014.1] → [Vivado 2014.1] をク リ ッ ク します。

Linux の場合 : % vivado

3. [Open Project] をク リ ッ ク します (図 2)。

表 1 : TFT コン ト ローラー システムのアドレス マップ

コア ベース アドレス 上位アドレス

axi_gpio_0 0x40000000 0x4000FFFF

axi_tft_0 0x44A00000 0x44A0FFFF

mig 0x80000000 0xBFFFFFFF

axi_uartlite_0 0x40600000 0x4060FFFF

axi_iic_0 0x40800000 0x4080FFFF

axi_mb_intc 0x41200000 0x4120FFFF

lmb_bram 0x00000000 0x0001FFFF

Page 5: 7 シリーズ プラットフォームに実装した AXI TFT …...Controller コアを実装する方法について詳しく説明します。また、フレーム バッファーの管理について

ハードウェアの構築

XAPP1215 (v1.0) 2014 年 7 月 18 日 japan.xilinx.com 5

5. [Flow] → [Generate Bitstream] をク リ ッ ク、 または Flow Navigator で [Program and Debug] の下にある [Generate Bitstream] をク リ ッ ク します (図 4)。 合成およびインプ リ メ ンテーシ ョ ンの実行を開始する画面が表示されたら、 [Yes] をク リ ッ ク します。

X-Ref Target - Figure 4

図 4 : [Generate Bitstream] の選択

Page 6: 7 シリーズ プラットフォームに実装した AXI TFT …...Controller コアを実装する方法について詳しく説明します。また、フレーム バッファーの管理について

ハードウェアの構築

XAPP1215 (v1.0) 2014 年 7 月 18 日 japan.xilinx.com 6

6. 実行完了後、 [View Reports] をオンにして [OK] をク リ ッ ク します (図 5)。

生成されたビッ ト ス ト リームは次の場所にあ り ます。

<unzip dir>/XAPP1215/HW/project_1/project_1.runs/impl_1/tft_top_kc705.bit

注記 : システム スピードや利用可能な リ ソースによって異なり ますが、 このデザインの合成およびインプリ メンテーシ ョ ンは完了までに 30 分 ~ 1 時間以上かかり ます。

SDK でソフ トウェア アプリケーシ ョ ンをコンパイルする

1. SDK を起動します。

Windows の場合 :

デスク ト ップ上の Xilinx SDK 2014.1 シ ョート カッ ト アイコンをダブルク リ ッ ク、 または [スタート ] → [Xilinx Design Tools] → [SDK 2014.1] → [Xilinx SDK 2014.1] をク リ ッ ク します。

Linux の場合 :% xsdk

2. Workspace Launcher で、 次のよ うにワークスペースのパスを選択して [OK] をク リ ッ ク します (図 6)。<unzip dir>/XAPP1215/HW/project_1/project_1.sdk/SDK/SDK_Export/

3. ワークスペースに SDK プロジェク トが表示された場合、[Project] → [Build All] をク リ ッ ク します。

4. ワークスペースに SDK プロジェク トが表示されない場合、次の手順に従って SDK プロジェク ト をインポート します。

a. [File] → [Import] をク リ ッ ク します。

b. [General] → [Existing Projects into Workspace] をク リ ッ ク します (図 7)。

X-Ref Target - Figure 5

図 5 : [Bitstream Generation Completed] 画面

X-Ref Target - Figure 6

図 6 : SDL でワークスペースを選択

Page 7: 7 シリーズ プラットフォームに実装した AXI TFT …...Controller コアを実装する方法について詳しく説明します。また、フレーム バッファーの管理について

ハードウェアの構築

XAPP1215 (v1.0) 2014 年 7 月 18 日 japan.xilinx.com 7

c. [Next] をク リ ッ ク します。

d. ルート ディ レク ト リ を次のディ レク ト リに変更します。

<unzip dir>/XAPP1215/HW/project_1/project_1.sdk/SDK/SDK_Export/

e. [Finish] をク リ ッ ク します。

これで、 ボード サポート パッケージ (BSP) と ソフ ト ウェア アプリ ケーシ ョ ンがコンパイルされます。この処理には 2 分から 5 分程度かかり ます。 完了する と、 SDK 内で既存ソフ ト ウェア アプリ ケーシ ョンの変更や新規アプリ ケーシ ョ ンの作成が可能になり ます。

注記 : 以降の手順は、「ハード ウェアの構築」 で説明したハード ウェアの再構築が目的の場合にのみ必要です。

5. [hw_platform_0] を右ク リ ッ ク し、[Change Hardware Platform Specification] を選択します (図 8)。

X-Ref Target - Figure 7

図 7 : 既存プロジェク ト を作業スペースにインポート

Page 8: 7 シリーズ プラットフォームに実装した AXI TFT …...Controller コアを実装する方法について詳しく説明します。また、フレーム バッファーの管理について

ハードウェアの構築

XAPP1215 (v1.0) 2014 年 7 月 18 日 japan.xilinx.com 8

6. [Yes] をク リ ッ ク します。

7. 次の場所にある design_1.xml を選択して [Open] をク リ ッ ク します (図 9)。<unzip dir>/XAPP1215/HW/project_1/project_1.sdk/SDK/SDK_Export/hw/design_1.xml

X-Ref Target - Figure 8

図 8 : [Change Hardware Platform Specification] の選択

Page 9: 7 シリーズ プラットフォームに実装した AXI TFT …...Controller コアを実装する方法について詳しく説明します。また、フレーム バッファーの管理について

ハードウェアの構築

XAPP1215 (v1.0) 2014 年 7 月 18 日 japan.xilinx.com 9

8. [OK] をク リ ッ ク します。

9. [Project] → [Build All] をク リ ッ ク します。

この段階で、 BSP と ソフ ト ウェア アプリ ケーシ ョ ンが再コンパイルされます。 この処理には 2 分から 5 分程度かかり ます。

X-Ref Target - Figure 9

図 9 : [Locate Hardware Specification File] 画面

Page 10: 7 シリーズ プラットフォームに実装した AXI TFT …...Controller コアを実装する方法について詳しく説明します。また、フレーム バッファーの管理について

ハードウェア上でのリファレンス デザインの実行

XAPP1215 (v1.0) 2014 年 7 月 18 日 japan.xilinx.com 10

ハードウェア上でのリファレンス デザインの実行

KC705 サンプル デザインのセッ トアップ

このセクシ ョ ンでは、 Kintex-7 FPGA KC705 評価キッ ト ボード上で AXI TFT Controller のリ ファレンス デザインを実行するための手順を説明します (図 10)。

次の手順のかっこ内の番号は図 10 に示す番号に対応しています。

1. USB Type-A/Micro-B ケーブルをホス ト PC から USB JTAG ポート (2) に接続します。 ホス ト PCに適切なデバイ ス ド ラ イバーがインス ト ールされている こ と を確認し ます。 『Kintex-7 FPGAKC705 評価キッ ト スタート アップ ガイ ド』 (UG883) [参照 5] を参照してください。

2. USB Type-A/Micro-B ケーブルをホス ト PC から USB UART ポート (1) に接続します。ホス ト PCに適切なデバイ ス ド ラ イバーがインス ト ールされている こ と を確認し ます。 『Kintex-7 FPGAKC705 評価キッ ト スタート アップ ガイ ド』 (UG883) [参照 5] を参照してください。

3. Avnet 社製 DVI I/O FMC モジュールを FMC HPC コネク タ (3) に接続します。

4. KC705 ユニバーサル 12v 電源アダプター ケーブルを電源コネクタ (5) に接続します。

5. TFT モニターからの HDMI ケーブルを Avnet 社製 DVI I/O FMC カードの DVI-D 出力に接続します。

6. 電源スイ ッチ (4) を ON の位置に設定します。

セッ ト アップが完了する と、 図 11 のよ うにな り ます。

X-Ref Target - Figure 10

図 10 : KC705 ボードの画像

5

4

1

2

3

Page 11: 7 シリーズ プラットフォームに実装した AXI TFT …...Controller コアを実装する方法について詳しく説明します。また、フレーム バッファーの管理について

ハードウェア上でのリファレンス デザインの実行

XAPP1215 (v1.0) 2014 年 7 月 18 日 japan.xilinx.com 11

リファレンス デザインの実行

次の手順に従い、デザイン ファ イル内にある生成済みのビッ ト ス ト リームを使用して、 リ ファレンス デザインを実行します。

1. Tera Term または HyperTerminal などの端末プログラムをホス ト PC 上で起動します。 次の設定を使用します。

• ボー レート : 9600

• データ ビッ ト : 8

• パリティ : なし

• ス ト ップ ビッ ト : 1

• フロー制御 : なし

2. コマンド シェルまたはターミナル ウ ィンド ウで、 ディ レク ト リ を ready_for_download に変更します。

% cd <unzip dir>/XAPP1215/ready_for_download

3. 次のコマンドで、 Xilinx Microprocessor Debugger (XMD) ツールを起動します。

% xmd

4. ビッ ト ス ト リームをダウンロード します。

XMD% fpga -f tft_top_kc705.bit

5. プロセッサに接続します。

XMD% connect mb mdm

6. プロセッサ コード (ELF) ファ イルをダウンロード します。

XMD% dow app_invader.elf

7. アプリ ケーシ ョ ンを実行します。

XMD% run

X-Ref Target - Figure 11

図 11 : AXI TFT Controller リファレンス デザインのセッ トアップ

Page 12: 7 シリーズ プラットフォームに実装した AXI TFT …...Controller コアを実装する方法について詳しく説明します。また、フレーム バッファーの管理について

ハードウェア上でのリファレンス デザインの実行

XAPP1215 (v1.0) 2014 年 7 月 18 日 japan.xilinx.com 12

8. GPIO プッシュボタン SW6 を押して、 シューターを左へ移動します。 SW3 を押して、 シューターを右へ移動します。 SW5 を押して、 ミサイルを発射します (図 12)。

図 13 に、 ゲーム アプリ ケーシ ョ ンからの端末出力を示します。 図 14 には、 ゲーム動作中の TFT ディスプレイのコンテンツを示します。

X-Ref Target - Figure 12

図 12 : ゲーム アプリケーシ ョ ンの制御

X-Ref Target - Figure 13

図 13 : ゲーム アプリケーシ ョ ンの端末出力

X-Ref Target - Figure 14

図 14 : TFT ディスプレイ コンテンツ

Shooter Left

Fire

Shooter Right

Page 13: 7 シリーズ プラットフォームに実装した AXI TFT …...Controller コアを実装する方法について詳しく説明します。また、フレーム バッファーの管理について

リファレンス デザイン

XAPP1215 (v1.0) 2014 年 7 月 18 日 japan.xilinx.com 13

リファレンス デザイン

このアプリ ケーシ ョ ン ノートの リ ファレンス デザインは、 次のリ ンクからダウンロードできます。

https://secure.xilinx.com/webreg/clickthrough.do?cid=362333 (登録が必要)

表 2 に、 リ ファレンス デザインの詳細を示します。

デザインの特性

このリ ファレンス デザインは、Vivado Design Suite 2014.1 を使用してインプ リ メン ト されており、ターゲッ ト デバイスは Kintex-7 FPGA (XC7K325T-2FFG900) です。

表 2 : リファレンス デザインの詳細

パラメーター 説明

全般

ターゲッ ト デバイ ス (ステッ ピング レベル、ES、 プロダクシ ョ ン、 スピード グレード )

Kintex-7 XC7K325T-2FFG900

ソース コードの提供 あ り

ソース コードの形式 VHDL、 Verilog

既存のザイ リ ンクス アプリ ケーシ ョ ン ノート/ リ ファレンス デザイン、 Vivado IP カタログ、サードパーティからデザインへのコード /IP の使用

N/A

シミ ュレーシ ョ ン

論理シ ミ ュレーシ ョ ンの実施 N/A

タイ ミ ング シ ミ ュレーシ ョ ンの実施 N/A

論理シ ミ ュ レーシ ョ ンおよびタ イ ミ ング シミ ュレーシ ョ ンでのテス トベンチの利用

N/A

テス トベンチの形式 N/A

使用したシ ミ ュレータ /バージ ョ ン N/A

SPICE/IBIS シ ミ ュレーシ ョ ンの実施 N/A

インプリ メンテーシ ョ ン

使用した合成ツール/バージ ョ ン Vivado Design Suite 2014.1

使用したインプ リ メ ンテーシ ョ ン ツール/バージ ョ ン

Vivado Design Suite 2014.1

スタティ ッ ク タイ ミ ング解析の実施 あ り (Vivado design suite インプ リ メ ンテーシ ョン ツールでタイ ミ ング検証に合格)

ハードウェア検証

ハードウェア検証の実施 あ り

使用したハード ウェア プラ ッ ト フォーム Kintex-7 FPGA KC705 評価キッ ト

Page 14: 7 シリーズ プラットフォームに実装した AXI TFT …...Controller コアを実装する方法について詳しく説明します。また、フレーム バッファーの管理について

リファレンス デザイン

XAPP1215 (v1.0) 2014 年 7 月 18 日 japan.xilinx.com 14

使用率とパフォーマンス

表 3 には、 KC705 評価ボードで リ フ ァ レンス デザインを実行した場合の リ ソース使用率およびパフォーマンス値を示します。

表 3 : 使用率とパフォーマンス

コンポーネン ト 値

スライス ロジック

スライスの LUT 数 18,500

スライス レジスタ数 16,525

IOB

I/O 144

メモリ

RAMB36E1 45

RAMB18E1 6

クロッキング

BUFGCTRL 5

MMCME2_ADV 1

PLLE2_ADV 1

全般

ランタイム 64 分 (CPU 時間)

タイ ミ ング違反 なし

Page 15: 7 シリーズ プラットフォームに実装した AXI TFT …...Controller コアを実装する方法について詳しく説明します。また、フレーム バッファーの管理について

まとめ

XAPP1215 (v1.0) 2014 年 7 月 18 日 japan.xilinx.com 15

表 4 には、 KC705 評価ボードでリ ファレンス デザインを実行した場合のモジュール レベルのリ ソース使用率を示します。

まとめ Kintex-7 FPGA KC705 評価キッ トは、 AXI TFT Controller コアを実装およびテス トするための最適なプラ ッ ト フォームを提供します。 KC705 ボード、 Vivado Design Suite、 および SDK を使用して、 さまざまなコンフ ィギュレーシ ョ ンを素早く評価でき、 カスタム ソフ ト ウェア アプ リ ケーシ ョ ンを開発できます。

参考資料 次の文書は、 このアプリ ケーシ ョ ン ノートに役立つ補足資料です。

1. AMBA AXI4 仕様

2. 『Vivado Design Suite チュート リ アル : IP インテグレーターを使用して IP サブシステムを設計』(UG995)

3. 『LogiCORE IP AXI Interconnect 製品ガイ ド』 (PG059)

4. 『LogiCORE IP AXI Thin Film Transistor Controller 製品ガイ ド』 (PG095)

5. 『Kintex-7 FPGA KC705 評価キッ ト スタート アップ ガイ ド』 (UG883)

6. 『Kintex-7 FPGA 用 KC705 評価ボード ユーザー ガイ ド』 (UG810)

7. 『Vivado Design Suite : AXI リ ファレンス ガイ ド』 (UG1037)

8. 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』(UG994)

表 4 : モジュール レベルの使用率

インスタンス LUT 総数 FF RAMB36 RAMB18

上辺 18,500 16,525 45 6

microblaze_1 1,752 1,575 10 0

mig_1 13,128 10,768 0 0

axi_tft_0 706 1,091 0 1

axi_intc_1 171 172 0 0

axi_interconnect_lite 164 120 0 0

axi_interconnect_full 1,929 2,147 3 5

concat_0 0 0 0 0

axi_gpio_0 30 59 0 0

axi_iic_0 428 365 0 0

lmb_v10_1 8 4 32 0

mdm_1 82 105 0 0

proc_sys_reset_1 19 31 0 0

注記 :

1. モジュールの境界を越えたロジッ ク最適化やモジュール間のロジッ ク共有などがあるため、 使用率の情報は概

算値です。

Page 16: 7 シリーズ プラットフォームに実装した AXI TFT …...Controller コアを実装する方法について詳しく説明します。また、フレーム バッファーの管理について

改訂履歴

XAPP1215 (v1.0) 2014 年 7 月 18 日 japan.xilinx.com 16

改訂履歴 次の表に、 この文書の改訂履歴を示します。

Notice of Disclaimer

The information disclosed to you hereunder (the “Materials”) is provided solely for the selection and useof Xilinx products.To the maximum extent permitted by applicable law:(1) Materials are made available"AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS,EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OFMERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE;and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any othertheory of liability) for any loss or damage of any kind or nature related to, arising under, or in connectionwith, the Materials (including your use of the Materials), including for any direct, indirect, special,incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of lossor damage suffered as a result of any action brought by a third party) even if such damage or loss wasreasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes noobligation to correct any errors contained in the Materials or to notify you of updates to the Materials orto product specifications.You may not reproduce, modify, distribute, or publicly display the Materialswithout prior written consent.Certain products are subject to the terms and conditions of the LimitedWarranties which can be viewed at http://www.xilinx.com/warranty.htm; IP cores may be subject towarranty and support terms contained in a license issued to you by Xilinx.Xilinx products are notdesigned or intended to be fail-safe or for use in any application requiring fail-safe performance; youassume sole risk and liability for use of Xilinx products in CriticalApplications:http://www.xilinx.com/warranty.htm#critapps.

Automotive Applications Disclaimer

XILINX PRODUCTS ARE NOT DESIGNED OR INTENDED TO BE FAIL-SAFE, OR FOR USE INANY APPLICATION REQUIRING FAIL-SAFE PERFORMANCE, SUCH AS APPLICATIONSRELATED TO:(I) THE DEPLOYMENT OF AIRBAGS, (II) CONTROL OF A VEHICLE, UNLESSTHERE IS A FAIL-SAFE OR REDUNDANCY FEATURE (WHICH DOES NOT INCLUDE USE OFSOFTWARE IN THE XILINX DEVICE TO IMPLEMENT THE REDUNDANCY) AND A WARNINGSIGNAL UPON FAILURE TO THE OPERATOR, OR (III) USES THAT COULD LEAD TO DEATHOR PERSONAL INJURY.CUSTOMER ASSUMES THE SOLE RISK AND LIABILITY OF ANY USEOF XILINX PRODUCTS IN SUCH APPLICATIONS.

こ の 資 料 に 関 す る フ ィ ー ド バ ッ ク お よ び リ ン ク な ど の 問 題 に つ き ま し て は、[email protected] まで、 または各ページの右下にある [フ ィードバッ ク送信] ボタンをクリ ッ クする と表示されるフォームからお知らせください。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受け付けており ません。 あらかじめご了承ください

日付 バージョ ン 内容

2014 年 7 月 18 日 1.0 初版