org flip flops_registradores organizção de flip flops

25
Edino M. L. Fernandes UNIVERSIDADE DO ESTADO DE SANTA CATARINA CENTRO DE CIÊNCIAS TECNOLÓGICAS – CCT Departamento de Ciências da Computação

Upload: jorge-soares

Post on 02-Jul-2015

410 views

Category:

Engineering


9 download

DESCRIPTION

Organização de flip-flops e registradores

TRANSCRIPT

Edino M. L. Fernandes

UNIVERSIDADE DO ESTADO DE SANTA CATARINA

CENTRO DE CIÊNCIAS TECNOLÓGICAS – CCT

Departamento de Ciências da Computação

FLIP-FLOPS

Introdução

Os circuitos combinacionais são aqueles onde as saídas dependem apenas dos níveis lógicos colocados nas entradas. A mesma combinação de entrada sempre produzirá o mesmo resultado na saída, porque circuitos combinacionais não possuem memória.

A maioria dos sistemas digitais é composta tanto por circuitos combinacionais como de elementos de memória. O elemento de memória mais importante é o flip-flop.

O Flip-Flop R-S (Reset - Set)

O circuito básico do flip-flop R-S é mostrado na figura abaixo:

O circuito acima mostra que o estado futuro das saídas Q e Q dependem R e S e também do estado atual dessas saídas. Isso é mostrado na tabela verdade abaixo:

Caso S R Qatual Qfuturo futuroQ

0 0 0 0 0 11 0 0 1 1 02 0 1 0 0 13 0 1 1 0 14 1 0 0 1 05 1 0 1 1 06 1 1 0 1 17 1 1 1 1 1

Nos casos 0 e 1, com S = 0 e R = 0, as saídas Q e Q permaneceram inalteradas (memória). Nos casos 2 e 3, com S = 0 e R = 1, a saída Q foi para 0 e Q foi para 1. Nos casos 4 e 5, com S = 1 e R = 0, a saída Q foi para 1 e Q foi para 0. Nos casos 6 e 7, com S = 1 e R = 1, as saídas Q e Q foram para 1, ocasionando um problema, já que as saídas Q e Q devem ser complementares.

Uma tabela simplificada e o símbolo do flip-flop R-S são mostrados a seguir:

58

O circuito do flip-flop R-S também pode ser implementado usando portas NOR.

Flip-Flops com Clock

Circuitos que utilizam clock são chamados de circuitos síncronos. Muitos flip-flops utilizam um sinal de clock para determinar o momento em que suas saídas mudarão de estado. O sinal de clock é comum para todas as partes do circuito.

Normalmente, o sinal de clock é uma onda quadrada e durante uma transição positiva (nível 0 para nível 1) ou transição negativa (nível 1 para nível 0) a saída poderá mudar de estado.

Tempos de Setup e Hold

Os tempos de setup e hold são parâmetros que devem ser observados para que o flip-flop possa trabalhar de modo confiável. O tempo de setup, tS, corresponde ao intervalo no qual as entradas devem permanecer estáveis antes da transição do clock. O tempo de hold, tH, corresponde ao intervalo no qual as entradas devem permanecer estáveis depois da transição do clock.

Os tempos de setup e hold mínimos devem ser respeitados para o funcionamento confiável do flip-flop.

59

Flip-Flop R-S com Clock

O símbolo do flip-flop R-S com clock é mostrado na figura abaixo:

O circuito interno é mostrado na figura abaixo:

O detector de transição é um circuito que habilitará, por alguns instantes, as entradas SET e RESET, durante a transição de CLOCK. O circuito típico de um detector de transição é mostrado a seguir:

Os tempos dos pulsos de CLK* correspondem aos tempos de atraso da porta NOT, em torno de 5 ns.

Flip-Flop J-K

O símbolo do flip-flop J-K é mostrado na figura abaixo:

60

A operação do flip-flop J-K é semelhante à do flip-flop R-S. A diferença é que o flip-flop J-K não possui a condição proibida, ou seja, J = K = 1. Nessa situação, a saída será complementada (valor anterior será invertido).

O circuito interno do flip-flop J-K é mostrado na figura seguinte:

Flip-Flop D

O símbolo do flip-flop D é mostrado na figura abaixo:

O circuito interno do flip-flop D é mostrado a seguir:

Latch D

O símbolo lógico do latch D é mostrado na figura abaixo. Diferentemente do flip-flop D, o latch D possui uma entrada EN. Quando esta entrada estiver habilitada, a saída é a cópia da entrada. Se ela estiver desabilitada, a saída não mudará.

O circuito interno é mostrado a seguir:

61

Entradas Assíncronas

Todas as entradas dos flip-flops até agora vistos dependem do sinal de clock. Estas entradas são chamadas entradas síncronas. Em muitos flip-flops existem outras entradas que são chamadas entradas assíncronas, ou seja, não dependem do sinal de clock para atuarem.

Essas entradas são usadas para colocar o flip-flop no estado “0” ou “1”, a qualquer instante. A tabela abaixo mostra as entradas assíncronas:

PRESET CLEAR Resposta do Flip-Flop1 1 Operação normal0 1 Q = 11 0 Q = 00 0 Não usada

Para a operação normal do flip-flop, as entradas PRESET e CLEAR devem estar em “1”. A qualquer momento podemos forçar a saída Q a ser “0” ou “1”. A última combinação não pode ser usada, já que é contraditória.

A figura abaixo mostra as entradas assíncronas de um flip-flop J-K:

Características de Temporização dos Flip-Flops

As seguintes características de tempo devem ser respeitadas para o funcionamento correto dos flip-flops.

- Tempos de Setup e Hold – Correspondem aos intervalos de tempo que a entrada deve permanecer estável antes e depois da transição do clock.

- Atrasos de Propagação – Na mudança de estado da saída, sempre haverá um atraso entre a aplicação de um sinal na entrada e o momento que a saída muda.

62

- Freqüência Máxima de Clock, fMAX – Esta é a freqüência mais alta que pode ser aplicada no flip-flop de modo a dispará-lo confiavelmente.

- Tempos de Duração do Clock em ALTO e BAIXO – O tempo de duração do clock em nível ALTO, tw(H) e o tempo de duração em nível BAIXO, tw(L) são mostrados na figura abaixo.

- Largura dos Pulsos Assíncronos – Assim como foram definidos larguras mínimas de pulsos para o clock, as entradas assíncronas PRESET e CLEAR também possuem larguras mínimas de pulsos para uma operação correta.

- Tempos de Transição do Clock – Para garantir o funcionamento correto do flip-flop, o tempo transição do clock deve ser o menor possível. Para dispositivos TTL esse tempo é ≤ 50 ns e para dispositivos CMOS, ≤ 200 ns.

63

Circuitos Integrados de Flip-Flops

Alguns circuitos integrados de flip-flops são mostrados abaixo:- 7474 – Duplo flip-flop D disparado por borda (TTL);- 74LS112 – Duplo flip-flop J-K disparado pela borda (TTL);- 74C74 – Duplo flip-flop D disparado pela borda (CMOS);- 74HC112 – Duplo flip-flop J-K disparado pela borda (CMOS).

Parâmetro de TemporizaçãoTTL CMOS

7474 74LS112 74C74 74HC112

tS (ns) 20 20 60 25

tH (ns) 5 0 0 0

tPHL (ns) de CLK para Q 40 24 200 31

tPLH (ns) de CLK para Q 25 16 200 31

tPHL (ns) de CLR para Q 40 24 225 41

tPLH (ns) de PRE para Q 25 16 255 41

tW(L) (ns)tempo em BAIXO para CLK

37 15 100 25

tW(H) (ns)tempo em ALTO para CLK

30 20 100 25

tW(L) (ns) para CLR ou PRE 30 15 60 25

fMAX (MHz) 15 30 5 20

64

CONTADORES

Contador Síncrono

O contador síncrono, é um contador onde todos os flip-flops recebem o sinal do clock simultaneamente. Estes contadores possuem entradas clock curto-circuitadas, dessa forma é possível o sinal do clock entrar em todos os flip-flops ao mesmo tempo, fazendo todos atuarem de forma sincronizada. Isto significa que cada flip-flop é disparado em sincronismo com o relógio. Uma das principais características dos contadores síncronos, refere-se a velocidade, uma vez que todos os flip-flops são disparados ao mesmo tempo. Os contadores síncronos sofrem da limitação de não usarem os flip-flops economicamente, além de serem mais difícieis de serem projetados.

Exemplo:

Contador Em Anel

O contador em anel é um contador síncrono, pois o sinal de relógio (que também fornece os eventos a serem contados) é aplicado simultaneamente a todos os flip-flops.

O circuito da figura seguinte mostra um contador em anel com módulo 4. Nesse circuito podemos observar um registrador de deslocamento (usando flip-flop tipo D, embora outros tipos também servissem) ligado de modo a permitir rotação para a direita. Primeiro o terminal inicia é levado brevemente ao nível lógico 1, fazendo Q = 1 no FF0 e zerando os demais flip-flops. A seguir, o sinal de relógio é aplicado e, como resultado, o contador passa

65

a contar os ciclos do relógio com módulo 4. Cada borda de gatilho do relógio avança o 1 para o flip-flop seguinte e, após quatro ciclos sucessivos do relógio, ele retorna ao estado inicial. A leitura da contagem registrada no contador em anel, do circuito acima, é extremamente simples. Para determinar a contagem., basta saber qual o flip-flop que se encontra no estado Q = 1. Para isto foram incluídos quatro dispositivos de display. Cada um dos dispositivos é ligado à saída Q de um flip-flop. O dispositivo pode ser um LED, por exemplo. Nesse caso, o LED se ilumina quando uma tensão correspondente ao nível lógico 1 for aplicada a elas. No nível lógico 0 elas estarão apagadas.

Contador Assíncrono;

Um contador assíncrono, também conhecido como contador ondulante, é um contador onde os flip-flops não mudam todos de estado ao mesmo tempo, ou seja, são caracterizados por seus flip-flops funcionarem de maneira assíncrona (sem sincronismo), não tendo entradas clock em comum. Neste tipo de circuito, a entrada clock se faz apenas no primeiro flip-flop, sendo as outras derivadas das saídas dos blocos anteriores. A saída de um flip-flop é conectada na entrada na entrada do próximo flip-flop. Neste caso, a saída de um flip-flop funciona como entrada do relógio para o flip-flop seguinte. Este tipo de contador tem a vantagem de economizar circuitos, necessitando usualmente de um mínimo de componentes; Tem por outro lado, limitações de velocidade que não são compartilhadas pelos contadores síncronos. Cada flip-flop é disparado pelo flip-flop anterior, e , desse modo, o contador tem um tempo de ativação cumulativo. Esta acumulação de atrasos de propagação causa dificuldades na leitura da contagem. Um contador ondulante binário pode ser construído usando flip-flops JK síncronos. A figura seguinte mostra um exemplo de construção de contador assíncrono utilizando três flip-flops JK mestre escravo conectados em cascata. O relógio do sistema, aciona o flip-flop A. A saída de A (QA) aciona B e a saída de B aciona o flip-flop C. Todas as entradas J e K são ligadas a +Vcc (nível lógico 1). Isto significa que cada flip-flop mudará de estado (comutará para o estado oposto) com uma transição negativa em sua entrada do relógio.

66

Contador de Pulso

A principal característica de um contador de pulsos é apresentar nas saídas, o sistema binário em seqüência. Seu circuito básico apresenta um grupo de 4 flip-flops do tipo T ou JK Mestre Escravo, os quais possuem a entrada T ou, no caso, J e K iguais a 1, originando na saída Qf = not(Qa), a cada descida do clock. A entrada se faz através da entrada clock do 1º flip-flop, sendo as entradas clock dos flip-flop seguintes, conectadas às saídas Q dos respectivos antecessores.

A figura mostra graficamente a seqüência de onda obtida a partir da variação aplicada à entrada clock do sistema.

67

Considerando Q0; como bit menos significativo (LSB) e q3 como bit mais significativo (MSB), temos nas saídas o sistema binário em seqüência (0000 a 1111), iniciando-se a partir do 1º clock. Notamos ainda que após o 16º clock, o contador irá reiniciar a contagem.

Os sinais do contador por pulsação parecem iguais aos do contador síncrono. Entretanto, existe uma pequena diferença entre os sinais do contador síncrono e do assíncrono. Esta diferença é resultado do atraso de propagação dos flip-flops. O atraso de propagação aumenta progressivamente a medida que passamos de um flip-flop para outro num contador assíncrono, uma vez que o pulso do relógio é aplicado somente no primeiro flip-flop (FFo). Esta acumulação de atrasos de propagação causa dificuldades na leitura da contagem. Já no contador síncrono, o pulso do relógio é aplicado simultaneamente em todos os flip-flops portanto, não existe acumulo de atraso de propagação.

Contador de Década

O contador de década é o circuito que efetua a contagem em números binários de 0 a 910 (10 algarismos). Isso significa acompanhar a sequencia do código BCD 8421 de 0000 até 1001.Para construir esse circuito, utilizamos o contador de pulsos, interligando as entradas clear dos flip-flops. Para que o contador conte somente de 0 a 9, deve-se jogar um nível 0 na entrada clear assim que surgir o caso 10 (1010), ou seja, no 10o pulso.

68

Após a 10a descida de clock, o contador tende a assumir o estado Q0 = 0, Q1=1, Q2=0, Q3=1 (10102 ), porém, neste instante, a entrada clear vai para 0, zerando o contador, ou seja, fazendo com que assuma o estado 0 (0000), reiniciando a contagem. Uma outra forma de se obter o mesmo clear ou reset no caso 1010, utilizando uma porta NAND; com menos entradas, consiste em ligarmos apenas Q3 e Q1 nesta, pois só serão iguais a 1 simultaneamente neste caso, zerando as saídas do mesmo jeito. Esse contador poderá ser usado como divisor de freqüência por 10 para uma onda quadrada aplicada à entrada clock, pois possui 10 estados de saída.

69

Contador Sequencial de 0 a N

Para construir um contador que faz a contagem de 0 até um número n qualquer, basta apenas verificarmos quais as saídas do contador para o caso seguinte a n, colocarmos estas saídas numa porta NAND e à saída desta ligarmos as entradas clear dos flip-flops.

A figura seguinte ilustra o circuito de um contador de 0 a 510 .

Nesse caso, o estado seguinte a n será o 6, ocasionando nas saídas: Q2=1, Q1=1, Q0=0 (1102). Quando ocorrer então, deverá haver um 0 nas entradas clear interligadas, levando o contador a 0. Devemos, para tanto, ter na entrada da porta NAND, a ligação de Q2 e Q1, pois na sequencia da contagemd, estas irão assumir níveis 1 simultaneamente apenas no caso 1102.

Contador Assíncrono Crescente Decrescente

Os contadores podem também ser classificados pelo tipo de contagem que executam, ou seja, se executam contagem crescente ou decrescente. A estes contadores damos os nomes de contadores crescentes e contadores decrescentes respectivamente.

Podemos construir um contador que execute a contagem crescente; ou decrescente. Para isso, utilizamos uma variável de controle que quando assume 1, faz o circuito executar contagem crescente e quando assume 0 faz a contagem decrescente. Esse circuito é mostrado na a seguir.

70

Notamos que, no circuito, quando o controle X estiver em 1, as saídas; Q0', Q1', Q2' estarão bloqueadas, fazendo com que entrem as saídas Q0, Q1 e Q2 nas entradas do clock dos flip-flops respectivamente. Isto fará com que contador conte crescentemente.

Quando o controle X estiver em 0, a situação inverter-se-á e, por conseguinte, o contador contará decrescentemente.

Dispositivos Schmitt-Trigger

A principal característica de um circuito Schmitt-Trigger é mostrada na figura abaixo:

A figura acima mostra um inversor comum sendo acionado por um sinal com tempo de transição longo. Em circuitos comuns, a saída pode oscilar à medida que o sinal de entrada passa pela faixa de transição.

71

Ainda na figura, vemos que em um circuito com entrada Schmitt-Trigger a saída não produzirá oscilações. Esse circuito funciona da seguinte forma: a entrada está em nível BAIXO resultando nível ALTO na saída. A saída só irá para nível BAIXO quando a entrada ultrapassar o valor VT+ (tensão de limiar superior). Nessas condições, se quisermos que a saída volte a ser ALTO, devemos aplicar uma tensão de entrada menor do que VT- (tensão de limiar inferior).

Dispositivos Schmitt-Trigger são especialmente usados em circuitos onde os sinais de entrada variam lentamente (ondas senoidais, sinais de sensores, etc). As especificações de VT+ e VT- dependem do tipo de componente, mas VT- é sempre menor do que VT+.

Circuitos Geradores de Clock

A maioria dos sistemas digitais utiliza algum circuito gerador de clock. Dentre essas aplicações podemos ter algumas que utilizam um sinal de clock sem a exigência de precisão. Outras, porém, a precisão é fundamental.

Existem vários tipos de osciladores que podem gerar pulsos de clock para sistemas digitais. Os menos precisos e menos estáveis (dependendo da aplicação) utilizam resistores e capacitores. Os mais precisos e estáveis utilizam cristais de quartzo e com freqüências muito maiores do que os circuitos que utilizam resistores e capacitores como geradores de clock.

Oscilador Schmitt-Trigger

Um típico gerador de clock usando dispositivos Schmitt-Trigger é mostrado na figura abaixo:

Temporizador 555 como Oscilador

O circuito integrado 555 também pode ser usado como gerador de clock. A figura abaixo mostra isso:

72

Osciladores a Cristal de Quartzo

A principal característica dos osciladores a cristal de quartzo é sua estabilidade e precisão quanto à freqüência de oscilação. A figura seguinte mostra circuitos osciladores que utilizam cristais de quartzo.

73

REGISTRADORES

Introdução

A utilização mais comum dos flip-flops é no armazenamento e transferência de informações. Essas informações são armazenadas em grupos de flip-flops chamados registradores.

Além de armazenar informações, os registradores têm a capacidade de transferir essas informações para outros registradores. Isso é bastante interessante, já que o armazenamento e a transferência de dados são as principais características dos sistemas digitais.

Registradores de Deslocamento

A figura abaixo mostra um registrador de deslocamento de 4 bits e suas formas de onda:

A cada pulso de clock, o valor contido nas entradas J e K dos flip-flops é transferido para a saída. Essa saída está conectada na entrada do próximo flip-flop e no final de 4 pulsos de clock, o valor da entrada DADOS, que foi transferido serialmente, estará armazenado no registrador.

74

Transferência Paralela de Dados entre Registradores

A figura abaixo mostra a transferência paralela de dados entre dois registradores:

As saídas dos flip-flops que constituem o registrador X estão conectadas nas entradas dos flip-flops que constituem o registrador Y. Depois do pulso TRANFER, o conteúdo armazenado no registrador X é transferido para o registrador Y.

Transferência Serial de Dados entre Registradores

A figura abaixo mostra a transferência serial de dados entre dois registradores:

A tabela acima mostra como os dados são transferidos entre os registradores X e Y.

Comparação entre a Transferência Paralela e a Transferência Serial

Na transferência paralela, os dados são transmitidos simultaneamente na ocorrência de um único pulso de transferência. Na transferência serial, cada bit é transmitido a cada pulso de transferência.

75

Com relação à velocidade de transmissão, a transferência paralela é mais rápida do que a serial. Em compensação, a transferência paralela necessita de um número maior de conexões entre os registradores.

Contadores com Registradores de Deslocamento

Registradores de deslocamento também podem ser usados como contadores:

- Contador em Anel – O contador em anel é mostrado na figura abaixo:

No contador em anel, um das saídas dos flip-flops está em 1 e as outras está em 0. Por ser um registrador de deslocamento, esse 1 é transferido para o próximo flip-flop e assim sucessivamente. A tabela abaixo mostra a seqüência da contagem:

Pulso de Clock Q3 Q2 Q1 Q00 1 0 0 01 0 1 0 02 0 0 1 03 0 0 0 14 1 0 0 05 0 1 0 06 0 0 1 07 0 0 0 1

Para o perfeito funcionamento deste tipo de contador, um dos flip-flops deve ter inicialmente o valor 1 e os outros 0. Isso pode ser feito através das entradas assíncronas PRESET e CLEAR.

76

- Contador Johnson - O contador Johnson é mostrado na figura abaixo:

A tabela abaixo mostra a seqüência de contagem do contador Johnson:

Pulso de Clock Q2 Q1 Q00 0 0 01 1 0 02 1 1 03 1 1 14 0 1 15 0 0 16 0 0 07 1 0 0

• Arquitetura Organizada por Barramentos

• Registradores de Dois Estados

Como vimos, um registrador é um circuito eletrônico digital capaz de armazenar uma palavra binária de um dado tamanho, construído a partir de flip-flops. Um Registrador de oito bits possui oito flip-flops, um Registrador de quatro bits possui quatro flips-flops, e assim por diante.

Uma nova palavra binária somente é armazenada quando um sinal elétrico (ativo), ordenando a carga e a borda positiva de um sinal de Clock ou sincronismo forem

77

aplicados, respectivamente às entradas Load e Clock dos mesmos. O armazenamento ou carga de uma palavra binária , em um registrador, sobrepõem a palavra anterior.

Na figura a seguir pode-se ver a representação de um Registrador de dois estados, denominado 'X', capaz de armazenar uma palavra binária de quatro bits.

As entradas de dados são representadas na para superior e as saídas na parte inferior da figura. Na lado esquerdo estão representadas as entradas para os sinais de controle Load e Clock, respectivamente. A pequena seta ou triângulo na entrada para o sinal de Clock , informa que seus flip-flops são carregados nas bordas positivas do sinal CLK.

• Registradores de Três Estados

Os flip-flops dos registradores são capazes de armazenar palavras binárias correspondentes aos dois estados lógicos, isto é, '0' ou '1'.

Podem-se inserir elementos de controle entre a saída de cada flip-flop e a saídas do registrador de modo que além dos dois estados lógicos poder-se introduzir um terceiro, chamado de "Estado de Alta Impedância", TRI-STATE ou HI-Z. Um Registrador, de nome 'X', capaz de armazenar uma palavra binária de quatro bits, com saídas de três estados e sinal de controle Load pode ser representado como na figura a seguir:

A barra sobre os sinais Load e Enable indicam que o nível lógico que os ativam é o '0' (lógica negativa). A pequena seta (triângulo) na entrada do sinal Clock, indica que uma carga somente será realizada, se /LX = '0', em uma borda positiva do sinal de Clock ( '0' - '1') ocorrerem. Em termos mais diretos Load é o sinal para escrita e Enable para leitura do conteúdo do Registrador.

Os referidos elementos de controle são os buffers tri-state os quais possuem entrada e saída de dados e uma entrada de controle de Habilitação ou Enable. O nível lógico do dado presente na entrada do buffer tri-state somente é conectado à sua saída se um sinal elétrico Habilitador ou Enable (ativo) autorizá-lo, caso contrário, surgirá o efeito "chave aberta" ou de Alta impedância, pelo qual entradas e saídas dos buffers tri-state são eletricamente isoladas.

78

A figura a seguir mostra a simbologia para buffer tri-state em dois casos: controle de Enable ativado por nível lógico '1' e por nível '0'.

Em um Registrador com saída em três estados, como já estabelecido, existe um buffer tri-state para cada flip-flop, no entanto seus sinais Enable são ligados a um mesmo ponto. Se o nome do Registrador for 'X' e seus buffers tri-state forem controlados por lógica negativa então, o nome do sinal de Enable deste registrador será /EX (EX com uma barra em cima).

• Transferências entre Registradores

Durante a execução de um programa de Computador, suas instruções são fragmentadas em operações elementares ou transferências de informações entre blocos do Computador. Esses blocos podem ser: Registradores, Memórias, Contadores, etc.; genericamente podem ser estudados sob o rótulo de Registradores.

Na realidade, ao invés de transferências, ocorrem cópias de palavras binárias de um bloco fonte para um bloco destino, o que mantém o conteúdo do bloco fonte inalterado. Essas transferências entre registradores são feitas utilizando-se de um conjunto de fios ou vias chamado de Barramento, Duto ou Bus. Um barramento de quatro bits possui quatro vias, um Barramento de oito bits possui oito vias, e assim por diante. A transferência é dita paralela se para cada bit da palavra binária houver um fio corresponde no Barramento.

O circuito abaixo representa uma arquitetura de um Computador organizado em Barramento que possui quatro Registradores de três estados, de quatro bits cada um. Todos os registradores recebem o sinal de sincronismo de Clock de uma fonte única e os respectivos sinais de controle, Load e Enable, de um outro bloco denominado Controlador/Seqüencializador que não foi incluído por razões didáticas.

As vias do Barramento W , são individualmente identificadas por índices (0-3), de modo que ao serem utilizadas, devam ser conectadas às entradas/saídas com os índices correspondentes. Ex.: W0 conecta-se à entrada/saída do bit 0 dos Registradores A, B, C e D.

79

O mesmo desenho de arquitetura pode ser feito de forma mais simplificada, não demonstrando as vias individualmente. A conexão de cada Registrador ao Barramento Central W é feita por ramificações setas que indicam as entradas e as saídas de dados dos registradores.

Para que uma transferência seja realizada é necessário que haja um sinal de sincronismo ou Clock e que sinais de controle adequados sejam aplicados aos blocos fonte e destino da informação.

O diagrama temporal abaixo exibe os sinais necessários para realizar uma transferência entre Registradores envolvendo um Registrador denominado A e outro C. Os sinais de controle envolvidos são ativados em nível lógico '0' (observe a barra), assim no período ou Estado Tn, o sinal /EA = '0' conecta eletricamente as saídas do Reg. A às vias do Barramento W. Também no Estado Tn, um sinal para carga do Reg. C (/LC = '0') é emitido. A cópia do conteúdo do Reg. A no Reg. C somente acontece na borda positiva de Tn.

80

Algebricamente esta transferência entre registradores pode ser representada da seguinte forma:

Tn /EA /LC : C <- A

na qual o termo à esquerda dos dois pontos especificam a condição para que a transferência seja realizada e o termo do lado direito, a ação propriamente dita.

Circuitos Integrados de Registradores

Os registradores podem ser classificados da forma com a qual seus dados são transferidos:- Entrada paralela / saída paralela – 74174, 74LS174, 74HC174;- Entrada serial / saída serial – 4731B;- Entrada paralela / saída serial – 74165, 74LS165, 74HC165;- Entrada serial / saída paralela – 74164, 74LS164, 74HC164.

Existem outras versões de registradores como, por exemplo:- 74194, 74LS194, 74HC194 – registrador de deslocamento bidirecional universal de

quatro bits com entrada paralela e saída paralela.- 74373, 74LS373, 74HC373, 74HCT373 – registrador de oito bits de entrada paralela e

saída paralela que contém oito latches tipo D com saídas em tristate.- 74374, 74LS374, 74HC374, 74HCT374 – registrador de oito bits de entrada paralela e

saída paralela que contém oito flip-flops tipo D com saídas em tristate.

81