filtro digital: estudo de caso de projeto e implementação ... · filtro digital: pld e vhdl...

18
Filtro Digital: Estudo de Caso de Projeto e Implementação O conteúdo deste tutorial foi obtido do artigo de autoria do Weverson dos Santos Cirino para a etapa de classificação do II Concurso Teleco de Trabalhos de Conclusão de Curso (TCC) 2006 . Este tutorial apresenta a simulação dos filtros digitais FIR e IIR utilizando a ferramenta Simulink e sua possível estrutura escrita em VHDL e implementada em PLD. A utilização dos filtros digitais permite resolver problemas tais como os advindos dos distúrbios que interferem na qualidade das informações que trafegam nos sinais digitais presentes nos sistemas de comunicações à distância. Nos últimos dez anos a tecnologia dos PLD’s evolui de tal forma que suas aplicações permitiram suprimir vários circuitos de lógica discreta, já que se integram em um único Circuito Integrado com quantidades de blocos variáveis, de acordo com a necessidade, capazes de implementar muitas funções lógicas. Por outro lado, a Linguagem de Descrição de Hardware VHDL contribuiu de uma forma decisiva para o fortalecimento das etapas de desenvolvimento, modelação e documentação de circuitos digitais complexos. Estes aspectos foram decisivos para facilitar a implementação dos filtros digitais apresentados neste tutorial. Weverson dos Santos Cirino Engenheiro de Telecomunicações pelo Centro Universitário Luterano de Manaus (CEULM, 2007), e Técnico em Eletrônica pela Fundação de Ensino e Pesquisa Matias Machilline (FMM, 1992). Cursa, Pós-graduação em Criptografia e Segurança de Redes na Universidade Federal Fluminense – UFF (Niterói, RJ), desenvolvendo o tema Estudo e Análise da Criptografia Quântica na Transmissão de Sinais utilizando Técnica de Visada Direta e Pós-graduação em Engenharia de Redes e Sistemas de Telecomunicação na Universidade Paulista - UNIP (Manaus, AM), desenvolvendo o tema Estudo e Análise dos Modelos de Propagação das Ondas Eletromagnéticas na Faixa de 2,4 GHz em Ambientes Fechados (Indoor). Atualmente é Gerente da Qualidade na Solteco - Tecnologia De Corte Ltda (Manaus, AM). Email: [email protected] Categoria: Sistemas de Transmissão Nível: Introdutório Enfoque: Técnico 1

Upload: trankiet

Post on 10-Nov-2018

224 views

Category:

Documents


0 download

TRANSCRIPT

Filtro Digital: Estudo de Caso de Projeto e Implementação

O conteúdo deste tutorial foi obtido do artigo de autoria do Weverson dos Santos Cirino para a etapa declassificação do II Concurso Teleco de Trabalhos de Conclusão de Curso (TCC) 2006. Este tutorial apresenta a simulação dos filtros digitais FIR e IIR utilizando a ferramenta Simulink e suapossível estrutura escrita em VHDL e implementada em PLD. A utilização dos filtros digitais permiteresolver problemas tais como os advindos dos distúrbios que interferem na qualidade das informações quetrafegam nos sinais digitais presentes nos sistemas de comunicações à distância. Nos últimos dez anos a tecnologia dos PLD’s evolui de tal forma que suas aplicações permitiram suprimirvários circuitos de lógica discreta, já que se integram em um único Circuito Integrado com quantidades deblocos variáveis, de acordo com a necessidade, capazes de implementar muitas funções lógicas. Por outro lado, a Linguagem de Descrição de Hardware VHDL contribuiu de uma forma decisiva para ofortalecimento das etapas de desenvolvimento, modelação e documentação de circuitos digitais complexos.Estes aspectos foram decisivos para facilitar a implementação dos filtros digitais apresentados neste tutorial.

Weverson dos Santos Cirino Engenheiro de Telecomunicações pelo Centro Universitário Luterano de Manaus (CEULM, 2007), eTécnico em Eletrônica pela Fundação de Ensino e Pesquisa Matias Machilline (FMM, 1992). Cursa, Pós-graduação em Criptografia e Segurança de Redes na Universidade Federal Fluminense – UFF(Niterói, RJ), desenvolvendo o tema Estudo e Análise da Criptografia Quântica na Transmissão de Sinaisutilizando Técnica de Visada Direta e Pós-graduação em Engenharia de Redes e Sistemas deTelecomunicação na Universidade Paulista - UNIP (Manaus, AM), desenvolvendo o tema Estudo e Análisedos Modelos de Propagação das Ondas Eletromagnéticas na Faixa de 2,4 GHz em Ambientes Fechados(Indoor). Atualmente é Gerente da Qualidade na Solteco - Tecnologia De Corte Ltda (Manaus, AM). Email: [email protected]

Categoria: Sistemas de Transmissão

Nível: Introdutório Enfoque: Técnico

1

Duração: 15 minutos Publicado em: 24/09/2007

2

Filtro Digital: Introdução

As principais vantagens dos sistemas digitais em relação aos sistemas analógicos são: alta confiabilidade,facilidade de modificar as características do sistema e baixo custo. Essas vantagens motivaram a implementação digital de muitos sistemas de processamento de sinais quecostumavam ser implementados com a tecnologia de circuitos analógicos (DINIZ, SILVA e NETTO, 2004). Grandes evoluções aconteceram dentro da indústria eletrônica durante os últimos dez anos no que se refereao desenvolvimento de sistemas digitais; de computadores pessoais, sistemas de áudio e vídeo atédispositivos de alta velocidade desenvolvidos para os circuitos de comunicações, produtos esses com umaalta tecnologia que permitem aumentar a eficiência, diminuir custos, melhorar o aproveitamento da energia,assim como dar continuidade à tendência de miniaturização desses componentes. Isto somente foi possível graças ás ferramentas de desenvolvimento e projeto de sistemas eletrônicosassistidos por computadores, e que são conhecidas como ferramentas EDA (Eletronic Design Automation). Esses softwares de projeto eletrônico cada vez mais auxiliam os engenheiros no desenvolvimento e projetode circuitos eletrônicos, têm-se tornado cada vez mais sofisticadas, e contam ainda com computadores cadavez mais velozes e de maior capacidade de processamento. Ambos, hardware e software constituem atualmente ferramentas importantíssimas que simplificam otrabalho de desenvolvimento e projeto de sistemas eletrônicos. Outra facilidade que as ferramentas EDA trouxeram, foi o aumento da velocidade dos processos dedesenvolvimento e projeto. Esta situação conduziu a adoção de novas metodologias para o projeto eevolução dos circuitos eletrônicos. O uso das ferramentas EDA junto com dispositivos lógicos programáveis que podem ser utilizados emdiferentes aplicações, inclusive os reprogramáveis, mudou bastante a concepção de desenvolvimento eprojeto de circuitos digitais. VHDL é uma linguagem que foi criada para o desenvolvimento, projeto, modelamento e documentação decircuitos digitais complexos. Atualmente é utilizada para a síntese de circuitos digitais utilizando dispositivoslógicos programáveis (PLD). Assim, os dispositivos lógicos programáveis, VHDL, VHSIC (Very High Speed Integrated Circuit) e HDL(Hardware Description Language), constituem os elementos fundamentais para as novas metodologias dedesenvolvimento e projeto de circuitos digitais. Este tutorial vem apresentar a evolução dos PLD’s até o mais recente FPGA, apresentar de forma sucinta oscódigos da linguagem VHDL, conceituar filtros digitais e simular e modelar filtros digitais FIR e IIR.

3

Filtro Digital: PLD e VHDL

Evolução do PLD Para reduzir o número de CI's a serem usados no projeto, é necessário colocar mais funções em um chip. Éclaro que isso tem sido feito com as tecnologias LSI e VLSI para funções padronizadas como memórias,microprocessadores, sintetizadores de voz, chips de calculadora e assim por diante. Esses dispositivos contêm centenas e milhares de portas lógicas conectadas para operar de um mododeterminado. O desenvolvimento recente dos Dispositivos Lógicos Programáveis ofereceu aos projetistasuma alternativa para substituição de um número grande de CI's padronizados por um único CI (TOCCI eWIDMER, 2000). Um dispositivo lógico programável ou simplesmente PLD, do inglês Programmable Logic Device, é umdispositivo cujas características podem ser modificadas e alteradas mediante programação. A arquitetura de um dispositivo lógico programável consiste em uma matriz de células lógicas que podem serinterconectadas através de programação para implementar diferentes projetos (TOCCI e WIDMER, 2000). O dispositivo programável mais simples e que foi o primeiro chip a ser programado pelo usuário é o PROM,do inglês Programmable Ready Only Memory. Ele é constituído por um arranjo de portas AND e portas OR.O arranjo AND é fixo enquanto o arranjo de portas OR é programável. Uma das principais aplicações desseprimeiro tipo de PLD foi para implementar circuitos lógicos. Introduzido no mercado pela Philips na década de 70, o PLA (Programmable Logic Array) foi o primeirodispositivo desenvolvido para implementar funções lógicas definidas. Um PLA é constituído por arranjosAND e OR, onde ambos são programáveis. Por esse motivo o PLA é adequado para a implementação defunções na forma de soma de produtos, sendo muito versátil, pois tanto os arranjos AND como os OR podemter muitas entradas. Em meados da década de 1970 o FPLA (Field Programmable Logic Array) foi o primeiro dispositivoprogramável sem memória a ser desenvolvido. Ele usava um arranjo AND programável, bem como umarranjo OR programável. Embora o FPLA seja mais flexível do que a PLA, ela não foi bem aceita pelosprojetistas. (TOCCI e WIDMER, 2000). Em 1978 foi introduzido pela Monolithic um inovador dispositivo de lógica programável chamado PAL(Programmable Array Logic). Este é constituído também por arranjos AND e OR, sendo que o arranjo ANDé programável e o arranjo OR é fixo. Esta disposição barateou em muito a fabricação dos dispositivos delógica programável, contudo para compensar a impossibilidade de programar os arranjos OR, alguns PAL’ssão fabricados com diferentes números de entradas e saídas, bem como vários tamanhos de portas OR. Hoje, tanto os PLA’s e PAL’s, bem como outros dispositivos que surgiram a partir dessas estruturas e quepossuem nomenclaturas diferentes, são classificados como SPLD’s (Simple PLD’s), cujas característicasprincipais são o baixo custo e o alto desempenho. Atualmente existentes diversos PLD’s sendo comercializados com diferentes estruturas, com mais ou commenos blocos SPLD’s, onde são chamados de CPLD’s (Complex PLD's). Os primeiros CPLD’s foramintroduzidos pela Altera Corporation.

4

Os CPLD’s disponíveis atualmente de maior capacidade lógica são os tradicionais Gate Arrays, algumasvezes chamados de MPGA (Mask - Programmable Gate Array). Assim como as MPGA’s, as FPGA’s(Field Programmable Gate Arrays) são formadas por blocos lógicos e interconexões entre estes blocos, e adiferença está na configuração realizada através de programação pelo usuário final. No ano de 1985, oprimeiro FPGA foi disponibilizado para ser comercializado pela empresa Xilinx Inc. Atualmente os FPGA’s oferecem capacidades lógicas de trabalho elevadas e são os responsáveis pela maiorparte das mudanças ocorridas na maneira como os circuitos digitais são desenvolvidos. Linguagem de Descrição de Hardware VHDL O termo VHDL significa VHSIC Hardware Description Language, e VHSIC é Very High Speed IntegratedCircuits. Portanto, VHDL foi concebido para desenvolver circuitos integrados. Na realidade, ele pode serusado para descrever vários tipos de sistema: uma rede de computadores, um circuito integrado, ousimplesmente uma simples porta lógica (DÉHARBE, 1998). A linguagem VHDL foi desenvolvida para descrever sistemas eletrônicos digitais. Surgiu a partir de umprograma de Circuitos Integrados de Velocidade Muito Alta (VHSIC) do Departamento de Defesa dosEstados Unidos em 1980. Na história desse programa houve uma padronização para descrever a estrutura efunção de Circuitos Integrados (CI’s). Posteriormente a Linguagem de Descrição de Hardware VHSIC foiaperfeiçoada e tornou-se um padrão reconhecido pelo Instituto de Engenheiros Elétricos e Eletrônicos(IEEE) nos EUA (DÉHARBE, 1998). Atualmente existente duas padronizações sucessivas liberadas para uso emitidas pelo Institute of Electricaland Electronic Engineers (IEEE): uma de 1987, o IEEE Std 1076 – 1987 (chamada de “VHDL 1987”), e aoutra de 1993, o IEEE Std 1076 – 1993 (chamada “VHDL 1993”). Ambas as normas VHDL 1987 e 1993 são totalmente integradas ao programa Max Plus II. Pode-se escreverum código VHDL 1987 ou 1993 utilizando-se a aplicação Max Plus II Text Editor, ou qualquer outro editorde texto. Em VHDL constantes, sinais, variáveis, funções, e parâmetros podem ser declarados como um tipo quedefine suas características. Cada objeto pode controlar ou retornar valores destes tipos. Cada descrição emVHDL de um circuito é constituída por uma entidade (Entity) e por uma ou mais arquiteturas (Architecture). O Altera Multiple Array MatriX Programable Logic User System ou software Max Plus II torna odesenvolvimento e a programação de dispositivos de hardware tarefas simples, fáceis e confiáveis. Ele é umambiente de desenvolvimento para a prática da tecnologia de lógica programável. Nele é possível criar,editar, simular esquemas com circuitos lógicos e programar componentes. A interface com o usuário éamigável e de fácil aprendizado (MONTEBELLER, 2005). Através do Max Plus II, o projetista pode combinar vários tipos de arquivos diferentes para a entrada deprojeto no programa, escolhendo assim, a maneira que mais se adapta ao projeto.

5

Filtro Digital: Conceitos e Projeto

Conceitos Em um sistema e transmissão, a função de um filtro é remover partes não desejadas do sinal, como o ruído,ou extrair partes úteis do sinal, como determinadas componentes de freqüência que estão dentro do gama defreqüência. Há dois tipos principais de filtro: o analógico e o digital. Eles são bastante diferentes namontagem física e em seu funcionamento. Um filtro analógico usa circuitos eletrônicos analógicos feitos de componentes como resistores, indutores ecapacitores para produzir o efeito de filtragem exigido. Tais circuitos de filtro são extensamente usados emaplicações como redução de ruído em sistema de alta-fidelidade e muitas outras áreas. Existem técnicas padrões para se projetar um circuito de filtro analógico para uma determinada aplicação.Em todas as fases do projeto a característica mais importante no circuito desse filtro é a tensão e a correnteelétrica, bem como a precisão dos componentes. Já no caso de um filtro digital, este usa um processador digital para executar cálculos numéricos em valoresamostrados do sinal de entrada. O processador pode ser um computador ou um DSP. Em um processo defiltragem digital, o sinal analógico deve ser primeiramente digitalizado usando um ADC. Isto quer dizer que, a cada intervalo de tempo previamente definido é retirada uma amostra do sinal deentrada que vai ser codificada em forma binária e este procedimento é aplicado sucessivamente a cada novointervalo de tempo. Esta amostragem é transferida ao processador que efetuar os cálculos numéricos. Estes cálculos envolvemmultiplicações e soma com constantes de seus termos - produtos. Os resultados desses cálculos produzidospelo processador agora representam valores do sinal filtrado e podem ser reconstituídos através de um DAC,o qual irá converter o sinal filtrado em um sinal na forma analógica. Note que num filtro digital, o sinal érepresentado por uma sucessão de números, em lugar de uma tensão ou corrente. Os filtros digitais são parte importante do Processamento Digital de Sinais (PDS). Na realidade, odesempenho extraordinário deles é uma das razões fundamentais para a popularização do PDS (STEVEN,1999). Existem várias vantagens advindas da utilização de filtros digitais, entre ela:

Um filtro digital é programável, ou seja, a sua operação é determinada por um programa armazenadona memória do processador. Isto significa que o filtro digital pode ser mudado facilmente sem afetarseu circuito eletrônico (hardware). No caso de um filtro analógico ocorre somente se mudarmos o seucircuito eletrônico;

1.

Os filtros digitais são facilmente projetados, sendo os mesmos testados e implementados em umcomputador ou estação de trabalho de forma simples;

2.

As características funcionais dos circuitos de filtros analógicos (particularmente os circuitoselaborados com componentes) estão sujeitos a variação da temperatura, variação de valores devido àconstrução dos componentes utilizados nos circuitos, entre outros parâmetros que dependem doprojeto e aplicação. Filtros digitais não sofrem estes problemas, logo são extremamente estáveisobtendo com isso resultados mais precisos.

3.

6

A ordem de um filtro digital é o número de contribuições previamente armazenadas na memória doprocessador utilizadas para calcular a próxima componente. Sendo assim, todos os filtros digitais podem serescritos da seguinte maneira:

Zero – ordem:

Primeira - ordem:

Segunda - ordem:

Filtros Digitais com ordens superiores a segunda podem ser desenvolvidos usando expressões semelhantes.As componentes a0, a1, a2,..., an são chamadas de “Coeficientes de Filtro”. Os valores desses coeficientes éque determinam as características do filtro em particular. Outra característica muito importante nos filtros digitais é a sua Função de Transferência. Esta função éobtida pela simetria da expressão do filtro, que nos permite descrever um filtro por meio de uma expressãoconveniente e compacta. Pode-se usar a função de transferência de um filtro para trabalhar fora de suaresposta de freqüência. Projeto de Filtro Digital IIR A resposta de um filtro de Resposta Infinita ao Impulso (IIR) é função dos sinais de entrada presentes epassados, e dos sinais de saída passados. A equação diferencial representa um filtro IIR porque é umafunção dos elementos de excitação e resposta. A dependência das saídas passadas (recursividade) faz comque a duração da resposta seja infinita, mesmo quando cessaram os sinais de entrada. A equação a seguirretrata esse enunciado.

De maneira prática e didática é muito fácil a implementação de um filtro digital IIR utilizando o softwareMatLab 6.5. A função “BUTTER” acompanhada do termo “N” que é especificado como sendo a ordem dofiltro e, “Wn” que é a freqüência de corte do filtro, que varia entre 0.0 e 1.0. A linha de comando então será[B,A] = BUTTER (N, Wn). As letras B e A então serão dadas pelo software, e correspondem aoscoeficientes do filtro Butterworth, Letra B (Numerador) e Letra A (Denominador). A figura a seguir demonstra o procedimento para a obtenção dos coeficientes do Numerador (B) e doDenominador (A) de um Filtro Digital IIR – Butterworth, tipo Passa-baixa com N = 4 e Wn = 0.2.

7

Figura 1: Projeto de Filtro Digital IIR.

Projeto de Filtro Digital FIR Se as saídas do sistema dependem somente da entrada presente e de um número finito de entradas passadas,então o filtro de Resposta Finita ao Impulso (FIR) tem uma resposta impulsiva finita. Logo a representa deum filtro FIR de duração L é dada da seguinte forma: Nessa equação os coeficientes do filtro são equivalentes a sua resposta impulsiva.

Devido ao fato de que os valores de saída passados não influenciam no cálculo dos valores de saídapresentes, este filtro também é chamado de filtro não-recursivo. Existem duas implementações eficientesadotáveis para filtros FIR: [1] implementação no domínio do tempo e [2] implementação no domínio dafreqüência. A implementação no domínio do tempo utiliza poucos coeficientes do filtro e efetua a filtragematravés de uma convolução do sinal de entrada com estes coeficientes. As vantagens dos filtros FIR são as seguintes: (a) Resposta de fase linear e, portanto sem distorção e comaritmética real; (b) Não tem problemas de estabilidade, e; (c) Implementação eficiente através da utilizaçãoda TFD. Através da utilização do software MatLab 6.5 pode-se implementar um filtro digital FIR utilizando a função“FIR1”, a partir do qual é possível determinar os coeficientes da resposta impulsiva do filtro FIR. Éimportante ressaltar que na freqüência de corte indicada temos 6 dB de atenuação. A figura a seguir demonstra o procedimento para obtenção dos coeficientes do Numerador (B) de um FiltroDigital FIR – Método Hamming, tipo Passa-baixa com N = 12 e Wn = 0.2.

8

Figura 2: Projeto de Filtro Digital FIR.

9

Filtro Digital: Parâmetros

Os parâmetros que serviram como base para os projetos dos filtros digitais foram elaborados utilizando oMatLab 6.5 e o Simulink 5.0. Sinal de Entrada O sinal de entrada foi composto pela somatória de dois sinais: informação e ruído. O sinal de informaçãotinha as seguintes características: amplitude de 1 V, freqüência de 0.5 rad/sec e fase zero. O sinal de ruídotinha as seguintes características: amplitude de 0.4V, freqüência de 10 rad/sec e fase zero. O sinal de entradaresultante é apresentado na figura a seguir.

Figura 3: Sinal de Entrada.

Para simular o sinal de entrada foram usados 2 blocos do tipo “Sine Wave” e 1 bloco do tipo “Sum”. O bloco“Sine Wave” foi usado para representar o sinal de informação e foi configurado com 1 V de amplitude efreqüência 0.5 rad/sec. Já o bloco “Sine Wave1” foi usado para representar o sinal de ruído e foi configuradocom 0.4 V de amplitude e freqüência 10 rad/sec. A saída do bloco “Sum”, que é o somador, representa osinal de entrada. A figura a seguir apresenta o sinal de entrada.

Figura 4: Blocos que representam a formação do sinal de entrada.

Filtro Digital IIR

10

O Filtro Digital IIR foi projetado para ser do tipo Butterworth, Passa-baixa, com N = 4, Wn = 0.2, e comatenuação na freqüência de corte igual a 6 dB. Os coeficientes do numerador e denominador do filtro digital IIR foram determinados utilizando o softwareMatLab 6.5, conforme já mostrado anteriormente. Com a ajuda do software MatLab 6.5 e sabendo que a função “BUTTER” oferece os coeficientes donumerador e denominador do filtro digital IIR, foi utilizado o comando [B,A] = BUTTER (4,0.2),obtendo-se os seguintes coeficientes:

B (Denominador): [1.0000 -2.9754 3.8060 -2.5453 0.8811 -0.1254];A (Numerador): [0.0013 0.0064 0.0128 0.0128 0.0064 0.0013].

A função “BUTTER” no MatLab 6.5 fornece os coeficientes do filtro digital IIR através da metodologia deButterworth sendo que para a freqüência de corte estabelecida, no caso 0.2 rad/sec, a atenuação é de 6 dB. Para demonstrar o funcionamento de um filtro digital IIR Butterworth, tipo Passa-baixa no Simullink versão5.0, optou-se por trabalhar com o bloco “Discrete Transfer Fcn” – Função de Transferência Discreta. Afigura a seguir apresenta os resultados obtidos.

Figura 5: Bloco do Filtro Digital IIR adicionado (direita) e seus coeficientes (esquerda).

Para completar a implementação do filtro digital, foram adicionados blocos de medição para permitir avisualização conjunta do sinal de entrada e do sinal filtrado. A figura a seguir apresenta o Filtro Digital IIRdevidamente implementado no Simulink versão 5.0.

11

Figura 6: Filtro digital IIR implementado.

A visualização conjunta do sinal de entrada e do sinal filtrado permite verificar qual foi a ação do filtro IIR,ou seja, no sinal resultante não existe mais o ruído inicial. A figura a seguir apresenta esses sinais, sendo osinal de entrada na cor amarela e o sinal de saída filtrado na cor vermelha.

Figura 7: Sinais de entrada e saída do filtro IIR.

Filtro Digital FIR O Filtro Digital FIR foi projetado para ser do tipo Janelamento Hamming, Passa-baixa, com N = 4, Wn =0.2, e com atenuação na freqüência de corte igual a 6 dB. Os coeficientes do numerador do filtro digital FIR foram determinados utilizando o software MatLab 6.5,conforme já mostrado anteriormente. Para a simulação do filtro digital FIR foi utilizado o mesmo sinal de entrada do caso anterior. As definiçõespara o projeto do filtro foram: método por janelamento, janela de Hamming, ordem do filtro igual a 4,

12

freqüência de corte igual a 0.2 rad/sec e atenuação de 6 dB. A implementação do filtro digital FIR utilizou os mesmos blocos do caso anterior e adicionou o bloco“Digital Filter Design”, “Zero-Order Hold” (discretador) e o “Discrete-time Integrator” (integrador). Oprojeto do filtro digital FIR possui freqüência de corte igual a 0.2 rad/sec, sendo que para esta freqüência decorte há uma atenuação de 6 dB.

Figura 8: Filtro digital FIR implementado.

Com todas as especificações do projeto do filtro digital FIR definidas e digitadas, a simulação do filtroproduziu o sinal de saída apresentado a seguir, sendo o sinal de entrada na cor amarela e o sinal de saídafiltrado na cor vermelha.

Figura 9: Sinais de entrada e saída do filtro FIR.

13

Filtro Digital: Simulação do Código VHDL

Os códigos descritos em VHDL os quais retratam toda a sistemática de filtragem de ambos os filtros digitaisIIR e FIR foram simulados no software Quartus II, sendo seus resultados demonstrados a seguir. Durante aaplicação e simulação dos programas em VHDL expostos e descritos acima, alguns detalhes forammodificados, contudo a maioria dos itens descritos foi mantida. O filtro digital IIR corresponde diretamente aos seus equivalentes analógicos. Uma forma de se implementaresse tipo de filtro é criando sua função de transferência no domínio analógico e depois transformá-la para odomínio da freqüência (z) e depois calcular os coeficientes do filtro. A implementação do filtro IIR pode se dar de várias formas. Assumindo o comportamento linear e invarianteno tempo do sistema, as equações diferenciais do filtro podem ser manipuladas matematicamente para obteruma realização com N elementos de memória.

Figura 10: Estrutura do filtro digital IIR.

Os blocos de atrasos podem ser conseguidos aplicando, nesta estrutura, registradores ou endereços dememórias. As multiplicações devem ser calculadas e endereçadas para que sejam levadas para os somadores. Os somadores existentes devem ser do tipo full-adder com carry de carregamento. Os resultados na saída dossomadores devem convergir para um único somador, sendo que a sua saída deve ser endereçada com oobjetivo de direcioná-la para a saída do filtro. Em relação ao filtro digital FIR, para facilitar ainda mais sua aplicação, foi feita uma modificação em suaestrutura interna. Na figura a seguir podemos observar a estrutura interna normal de um filtro digital FIR,composta por registradores, somadores e multiplicadores, os quais são responsáveis pela operação deconvolução. Contudo, os coeficientes do filtro são fixos.

14

Figura 11: Estrutura convencional de um filtro digital FIR.

Sendo assim, com os coeficientes fixos o filtro digital fica limitado, o que restringe a sua aplicação. Amudança efetuada no filtro projetado é juntamente eliminar esta condição de coeficientes fixos, deixando-osvariáveis conforme as componentes do sinal de entrada. Isso quer dizer que, quanto maior for acomplexidade do sinal de entrada, maior será a quantidade dos coeficientes do filtro e vice-versa. Quandoisto acontece, chamamos esse filtro de “filtro adaptativo”. A diferença está na possibilidade de alteração automática dos coeficientes durante a operação. Um filtronormal (não adaptativo) executará a mesma função continuamente enquanto não houver uma intervençãoexterna para modificação dos coeficientes, que devem ser determinados a parte. Um filtro adaptativo, por sua vez, tem a capacidade de calcular os seus coeficientes para a execução de umafunção qualquer e, principalmente, modificar esta função dinamicamente, com ou sem intervenção externa(CARRO, 2001). A figura 12 mostra um exemplo de filtro digital FIR Linear Adaptativo com 5 taps. Os taps na verdade são asamostras retiradas do sinal de entrada, que estão devidamente atrasadas umas das outras. No exemplo aseguir, o filtro possui 5 amostras do sinal de entrada.

Figura 12: Exemplo de filtro digital FIR adaptativo com 5 taps.

A figura a seguir apresenta a Tela do Quartus II mostrando o sucesso na compilação do projeto Filtro digitalFIR Linear Adaptativo.

15

Figura 13: Resultado da compilação do Filtro digital FIR Linear Adaptativo.

Para o correto funcionamento do filtro foi empregado o endereçamento circular. O nome endereçamentocircular deve-se ao fato de que o endereço de memória do dado de entrada aponta para a posição anterior(ou posterior) a cada início de convolução, e os endereços inicial e final são logicamente contínuos(CARRO, 2001).

16

Filtro Digital: Considerações Finais

O projeto dos filtros digitais IIR e FIR apresentados neste tutorial, e sua posterior simulação, levaram àsseguintes conclusões:

Foi possível notar que a estrutura do sinal de saída filtrado do filtro FIR aproxima-se similar do sinalde informação (onda senoidal), em comparação ao sinal de saída do filtro IIR. Isso já era de seesperar, pois uma das características do filtro digital FIR é sua função linear, bem como, o tratamentodado as componentes do sinal de entrada e saída. Sendo assim, o filtro FIR, conforme resultadoobtido, foi o que demonstrou melhor desempenho;

1.

O desenvolvimento de sistemas digitais de baixa, média e alta complexidade utilizando linguagem dedescrição de hardware VHDL, simuladores de VHDL, tal como o software Quartus II, bem como aimplementação desses códigos em placas de desenvolvimento com CPD’s e FPGA’s ficaram maisfáceis em comparação aos processos anteriormente aplicados;

2.

A substituição de filtros analógicos por filtros digitais no âmbito de Sistemas de Transmissão é semsombra de dúvida um dos maiores ganhos para a qualidade da informação;4.Adicionalmente, pode-se afirmar que existe pouca literatura técnica na área de Microeletrônica,VHDL e estruturas de PLD’s.

3.

Referências (CARRO, 2001) CARRO, LUIGI. Projeto e prototipação de sistemas digitais. 1 ed. Porto Alegre: EditoraUniversidade / UFRGS. 2001. (DÉHARBE, 1998) DÉHARBE, DAVID. Apostila de VHDL: nota de aulas. Universidade Federal do RioGrande do Norte: Departamento de Informática e Matemática Aplicada, 1º Semestre de 1998. (DINIZ, SILVA e NETTO, 2004) DINIZ, PAULO SERGIO RAMIREZ. Processamento Digital de Sinais:

projeto e análise de sistemas. Paulo Sergio Ramirez Diniz, Eduardo Antônio Barros da Silva e Sergio LimaNetto. Tradução Luiz Wagner Pereira Biscainho. 1. ed. Porto Alegre: Bookman, 2004. Página 23. (MONTEBELLER, 2005) MONTEBELLER, SIDNEY JOSÉ. Apostila sobre Dispositivo de LógicaProgramável. Facens. Departamento de Eletrônica. 2005. (STEVEN, 1999) SMITH, STEVEN W. DSP Guide. 2 ed. San Diego: California Technical Publishing, 1999. (TOCCI e WIDMER, 2000) TOCCI, RONALD J., WIDNER, NEAL S.. Sistemas Digitais: Princípios e

aplicações.7 ed. Rio de Janeiro: LTC, 2000.

17

Filtro Digital: Teste seu Entendimento

1. Qual é a função do filtro, seja ele analógico ou digital?

Equalizar partes desejadas do sinal, como o ruído, ou partes úteis do sinal, como determinadascomponentes de freqüência que estão dentro da faixa de freqüência desse sinal.

Equalizar partes não desejadas do sinal, como o ruído, ou partes úteis do sinal, como determinadascomponentes de freqüência que estão dentro da faixa de freqüência desse sinal.

Remover partes não desejadas do sinal, como o ruído, ou extrair partes úteis do sinal, comodeterminadas componentes de freqüência que estão dentro da faixa de freqüência desse sinal.

Adicionar partes de teste ao sinal, como o ruído, ou partes úteis ao sinal, como determinadascomponentes de freqüência que estão dentro da faixa de freqüência desse sinal.

2. Qual a diferença entre o filtro analógico e o filtro digital?

O filtro analógico usa circuitos eletrônicos analógicos (componentes discretos) e filtro digital usa umprocessador digital para executar cálculos numéricos sobre os valores amostrados do sinal de entrada.

O filtro analógico usa circuitos integrados e filtro digital componentes discretos para executar cálculosnuméricos sobre os valores amostrados do sinal de entrada.

O filtro analógico filtra sinais analógicos e o filtro digital filtra sinais digitais.

A diferença não está nos filtros, e sim nos sinal filtrados (analógico ou digital). 3. No contexto deste tutorial, o que facilitou o projeto e implementação dos filtros digitais IIR e FIR?

Os componentes baseados na tecnologia PLD.

A Linguagem de Descrição de Hardware VHDL.

Os softwares matemático MatLab e de simulação Simulink.

Todos os fatores anteriores.

18